PID Implementation on FPGA for Motion Control in DC Motor Using VHDL

Similar documents
FPGA Based Implementation of Sinusoidal PWM for Induction Motor Drive Applications

Design of an electronic platform based on FPGA-DSP for motion control applications

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

Speed Control of BLDC Motor Using FPGA

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

Design and Implementation of PID Controller using HDL on FPGA

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

A Low Power VLSI Design of an All Digital Phase Locked Loop

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

Design of double loop-locked system for brush-less DC motor based on DSP

Microcontroller Based Closed Loop Speed and Position Control of DC Motor

VLSI Implementation of Digital Down Converter (DDC)

Optimization of Digitally Controlled Oscillator with Low Power

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Low Power Adiabatic Logic Design

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

CHAPTER 4 FUZZY LOGIC CONTROLLER

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Brushed DC Motor Microcontroller PWM Speed Control with Optical Encoder and H-Bridge

Design and Implementation of Modern Digital Controller for DC-DC Converters

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

PWM, ALT, HALT, HAST.

STAND ALONE CONTROLLER FOR LINEAR INTERACTING SYSTEM

International Journal of Advance Engineering and Research Development

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC MOTOR

Design and Simulation of FPGA Based Digital Controller for Single Phase Boost PFC Converter

User Guide Introduction. IRMCS3043 System Overview/Guide. International Rectifier s imotion Team. Table of Contents

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

ME375 Lab Project. Bradley Boane & Jeremy Bourque April 25, 2018

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

GESTURE BASED HOME AUTOMATION SYSTEM USING SPARTAN 3A, ASIC

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

Implementation of Digital Communication Laboratory on FPGA

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Design and Implementation of Hybrid Parallel Prefix Adder

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Optimized Design for Parallel MAC based on Radix-4 MBA

FPGA Implementation of a Digital Tachometer with Input Filtering

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

Design and Simulation of PID Controller using FPGA

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

Development of Timer Core Based on 82C54 Using VHDL

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Real-Time Testing Made Easy with Simulink Real-Time

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter

CURRENT FOLLOWER APPROACH BASED PI AND FUZZY LOGIC CONTROLLERS FOR BLDC MOTOR DRIVE SYSTEM FED FROM CUK CONVERTER

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

Fuzzy Logic Based Speed Control System Comparative Study

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

DC Motor Speed Control using LabVIEW FPGA Modeling, Control Algorithm Simulation & Implementation

Design of stepper motor position control system based on DSP. Guan Fang Liu a, Hua Wei Li b

Hardware Implementation of Automatic Control Systems using FPGAs

Simulation and Implementation of FPGA based three phase BLDC drive for Electric Vehicles

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Multiplier and Accumulator Using Csla

VLSI IMPLEMENTATION OF ARITHMETIC OPERATION

Brushed DC Motor PWM Speed Control with the NI myrio, Optical Encoder, and H-Bridge

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

EE19D Digital Electronics. Lecture 1: General Introduction

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

Sensors and Sensing Motors, Encoders and Motor Control

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain

TOUCH SCREEN BASED SPEED CONTROL OF SINGLE PHASE INDUCTION MOTOR

EC 1354-Principles of VLSI Design

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise

An Efficent Real Time Analysis of Carry Select Adder

FPGA Implementation of Desensitized Half Band Filters

Negative Output Multiple Lift-Push-Pull Switched Capacitor for Automotive Applications by Using Soft Switching Technique

A Low Power Single Phase Clock Distribution Multiband Network

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

Digital Controller Chip Set for Isolated DC Power Supplies

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN

SPEED CONTROL OF PERMANENT MAGNET SYNCHRONOUS MOTOR USING FPGA FOR HIGH FREQUENCY SIC MOSFET INVERTER

ISSN Vol.05,Issue.01, January-2017, Pages:

Step vs. Servo Selecting the Best

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Digital Systems Design

DC motor control using arduino

Closed Loop Magnetic Levitation Control of a Rotary Inductrack System. Senior Project Proposal. Students: Austin Collins Corey West

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

A COMPARISON ANALYSIS OF PWM CIRCUIT WITH ARDUINO AND FPGA

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

Design and Implementation of High Speed Carry Select Adder

Single Chip Velocity Measurement System for Incremental Optical Encoders

MEASURING PHYSICAL DIMENSIONS WITH LASER BEAM AND PROGRAMMABLE LOGIC

Transcription:

IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 116-121 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org PID Implementation on FPGA for Motion Control in DC Motor Using VHDL Sandeepa Prabhu 1, Praveen Konda K 2 1 ECE Department, Sahyadri College Of Engineering and Management, India 2 Assistant Professor ECE Department, Sahyadri College Of Engineering and Management, India Abstract : this paper presents the implementation of a proportional-integral-derivative (PID) controller for motion control of a DC motor based on FPGA. This implementation technique used to avoid the problems which create during analog and digital interfacing system in real-time.the controller used in speed controller loop. The hardware implementation has been done on a Xilinx Spartan 3 FPGA chip and generates the PWM signal as an input of motor driver for controlling. The out of optically encoded data is decoded and give it to PID control loop. Proposed implementation is present through the VHDL algorithm Keywords: PID controller, USB-6008, DC motor, FPGA, Xilinx Spartan 3. I. Introduction The new and effective technology, theories and design methodologies are being continuously development in the control field reduce the coast even after it is not susceptible to environmental noise and very easy to re-configurable so that digital control system have become most popular. Field Programmable Gate Array (FPGA) has been selected.as there are many useful methods and tools that are available in FPGA. These are used for the remodelling of dedicated and reconfigurable hardware which use complex digital circuits at the wafer level. With the help of FPGA we can able to develop a circuit in digital form that involves high division of complexity. Due to this, FPGA technology is utilized so that to build up a digital circuit so to get an efficient, flexible and fast control system. Some errors which are related to the digital controller are quantization error, differential linearity error (DNE), integral linearity error (INE). This can be recovered by using embedded systems that are efficient to use in terms of the chip area, flexibility, speed and cost minimization. Therefore some hardware based solution implementation suitable for where the digital circuit is responsible for operation of specific application. II. Literature Review From the Observation most of the paper mentioned the different way controlling the system with efficient, flexible and good accuracy output. In [1-9] reports mainly engross on various ways to discern the system which is efficient, flexible.in [6], To curtail the calculation load of NURBS interpolation, a interpolation form encompassing two level is used. Parallel working of PC and FPGA system is subsumed,whereas in [1], To find out the system uncertainties in the LIM, an modification method has been applied, is reliant on the FPGA only. In [7] to adjust the velocity of PMS drive, an FPGA supported adaptive controller with fuzzy technique is utilized. In this work employing a controller that is of digital form gives a adaptable way of introducing the digital controllers in system controlling motion effect that is permitted to be custom built and yet be adaptable for future requirements. In [9] reports implementation of PWM on FPGA, design & implementation of PWM on FPGA using HDL. In this work employing hardware description language (VHDL) and implementing it on FPGA because FPGA can process information faster, controller architecture, hardware flexibility, design reuse also explained the gradual building of modules towards implementing the DC Motor control application. This control loop is implemented on FPGA platform for VHDL beginner. III. Proposed System Design And Methodology 3.1 Block Diagram Of Complete System: The figure 1 shows the objective of this paper reviewed in bock diagram. In this, set point or reference signal is the preferred rapidity of the plant that is DC motor. This reference signal is transfer to detector. Detector is used to determine the difference among selected value input and the value of feedback element. At end stage it helps us to get the true estimated value or approximated value that is somewhat similar to selected value. Output of the error detector is feed to the PLL input. PLL is used here for generation of clock signals. In this project we use the controller as PID. The output of error detector is give to input of the controller. Output of error detector is the deviation among the reference signal and feedback element obtained that actuates the regulator elements. The control elements change the conditions in the plant so as to reduce the original error. DOI: 10.9790/4200-060302116121 www.iosrjournals.org 116 Page

PWM generator is used in the controller to produce the PWM waveform which will be the input to the system which in this case is a DC motor. Fig 1. Complete system Block diagram 3.2 Modeling of the Dc Motor The motor s mathematical model can be given as: The voltage of the armature V a has the following parameters: E b (s) +I a (s) [R a +s L a ] =V a (s) (1) Now for a motor with PMDC, T (s) = I a (s) K t (2) The motor s back emf can be defined as E b (s) =K b s (s) (3) The motor s mechanical model can be given as: (4) (5) (6) Placing (6) & (3) equations in (2) provides, (7) Fig 2. Pant model DOI: 10.9790/4200-060302116121 www.iosrjournals.org 117 Page

The plant model has been given in Fig. 2. The motor output is encoded by using IR LED based speed encoder.the motor speed data has been obtained from the rate of pulses of the encoder. Figure 3 and figure 4shows the output of the plant model when it is in open loop and close loop. Fig 3. Unit step response of closed loop plant model Fig 4. Unit step response of open loop plant model 3.3 Design of the Controller: The PID based controller performs its operation very easy and simple manner like proportional, integral and derivative action in control system. This controller is in cascaded position form used in velocity and current loop to get flexibility and efficient dynamic and static response. The mathematical model of PID controller with respect to error e(t) is. ) (8) (9) P is used to determine the present error, I is used to know the accumulation of past errors, and future errors are predicated by D, based on current rate of change. We can tune the PID controller by using various methods but here the proportional (), integral (k i ) and derivative (k d ) gain are obtained from simulink PID tuner and system step response. The step response of tuned system as shown in figure 4. The controller has been designed with a filter and trapezoidal integral algorithm. 3.2 Pwm Signal Generator: PWM is a technique to provide a logic 1 and logic 0 for a controlled period of time. It is a signal source involves the modulation of its duty cycle. Pulse Width Modulation is a very popular technique for delivering power to any circuit in a very precise and controlled manner. The above figure shows the block diagram of the PWM generator.the PWM contain N-bite data ward as a input.corresponding to the actual PWM duty cycle. The register stores the input (set value). When load input is high then register gives the input DOI: 10.9790/4200-060302116121 www.iosrjournals.org 118 Page

to output then this register output is compare with N-bite counter value by using comparator.when these two value become equal the comparator output is used to reset the R/S latch output. when counter overflows then load signal is high and latch output is set to high. The duty cycle is given from the following equation: Where, Data Value is the N-bit input data value. Fig 5. PWM pulse generator 3.4 Dc Motor Driver Circuit And Encoding: Output of the PWM generator is given to the L293D Quadruple Half driver circuit for drive the dc motor. PWM output signal is a function of reference signal and it is used regulate the speed of the motor. The ON period of the PWM decides the motor ON period and the average voltage decides the speed of the motor. The IR LED based speed encoder generates the pulse depending upon the rotation of the motor. The speed encoder is made up of the wheel with N-holes. So that IR transmitter/receiver LED generates N-pulse per one revolution of the motor. This IR LED based sped encoder interface to FPGA and calculate the error signal and sampled by using system clock rate. One important factor is found in sped encoder pulse is noise at the edges due to the wobbling of encoded wheel so produces false counting of the sampled speed. So avoid such problem we us the debounce logic was introduced. 3.5 Phase Locked Loop: PLL is a feedback system that indicates a VCO, Phase detector and low pass filter with in a loop. In my project PLL is used for clock generation application. When two inputs has same frequency and loop is locked then we get the output frequency twice of input frequency with cosine phase difference. Doubled frequency can eliminate by using low pass filter and phase difference is removed by VCO control tune value. 3.5 Error Signal: Error signal is generated by comparing the feedback value that is from encoded value with reference value. Actually here number of encoded pulse is converted hex decimal value with respect to PWM then subtract with set value the feed to PID controller as a input. If IR based speed encoder having N number of holes in a wheel so it generates N pulse in a one Revolution of the motor. IV. Implementation And Result Details The proposed system is designed using Xilinx 9.1i design suite and its implemented in Spartan xc3s400-4tq144. The language used here is VHDL. Design utilization summary is shown in Table.1the proposed system simulation results as follows. The simulation shows control PWM output as shown in figure 8 (a) & (b).in this project controller operation was implemented in a Xilinx Spartan 3 FPGA, Control system modules includes controller, PLL, PWM generator, comparator, and encoder interface module. First connect supply to board and connect USB cable to laptop and to board then compile the code, assign the pins, select JTAG programming mode and download the program in to target device. Inputs are given feed through the switches present on FPGA board and we can observe the speed of the DC motor. DOI: 10.9790/4200-060302116121 www.iosrjournals.org 119 Page

Table.1 Design utilization Summary (a) (b) Figure 6 (a) & (b) shows the simulation output of the PWM. This signal is given to motor driver Fig 7. Power analysis of complete model. When compare to simple method used for motion control this method means PID controlling produce less power dissipation DOI: 10.9790/4200-060302116121 www.iosrjournals.org 120 Page

Fig 8. RTL schematic PWM module V. Conclusions The simulated waveform presented in the paper shows VHDL implementation of PID controller for motion control and the debounce logic control in order to reduce the wobbling of the encoder wheel. The design is synthesized using Xilinx 9.1i design suite and implemented in Spartan xc3s400-tq144. Acknowledgment I hereby take this opportunity to express my gratitude and thankfulness to all those concerned in helping me in working on this project. I would like to thank my project guide Mr. Praveen Konda k, Assistant professor of Electronics and Communication Engineering department for his continuous encouragement while working on the project. References [1]. Somsubhra Ghosh1,Ranjit kumar Barai2,samar Bhattarcharya,prathana Battarcharya3,ShubhobrataRudra4,Arka Dutta5,Rownick Pyne6, An FPGA Based Implementation of a Flexible Digital PID Controller For a Motion Control System, 2013 International Conference on Computer Communication and Informatics (ICCCI-2013),jan.09-11,2013. [2]. Y.-Y. Tzou, T.-S. Kuo, Design and Implementation of an FPGA-BasedMotor Control IC, in Proceesings of IEEE IECON 1997, vol. 2, pp.943-947. [3]. L. F. Castano, G. A. Osorio, Design of a FPGA based position PI servo controller for a DC motor with dry friction, in Proceedings of IEEE VII Southern Conference on Programmable Logic, pp. 75-80, 2011. [4]. Y.-S. Kung, P.-G. Huang, C.-W. Chen, Development of a SOPC for PMSM drives, in Proceedings of 47th IEEE International Midwest Symposium on Circuits and Systems, vol. 2, pp. II-329-II-332, 2004. [5]. Y. Li, S. Zhuang, L. Zhang, Development of an FPGA-Based Servo Controller for PMSM Drives, in Proceedings of IEEE International Conference on Automation and Logistics, pp. 1398-1403, Aug. 2007. [6]. M.-T. Lin, H.-T. Yau, H.-W. Nien, M.-S. Tsai, FPGA-Based Motion Controller with Real-time Look-ahade Function, in Proceedings of IEEE international Conference on Advanced Intellegent Mechatronics, pp. 1406-1411, 2008. [7]. Y.-S. Kung, M.-H. Tsai, FPGA-Based Speed Control IC for PMSM Drive With Adaptive Fuzzy Control, IEEE Trans. On Power Electronics, vol. 22, no. 6, pp. 2476-2486, Nov. 2007. [8]. J. Lamping, Z. Runjing, L. Zhian, Realization of Position Tracking Syatem Based on FPGA, in Proceedings of IEEE International Conference on Signal Processing, vol. 3, pp. 2588 2591, 2004. [9]. Y.-S Kung, K.-H. Tseng, T.-Y. Tai, FPGA-based Servo Control IC for X-Y Table, in Proceedings of IEEE Interantional Conference on Industrial Technology, pp. 2913-2918, 2006. DOI: 10.9790/4200-060302116121 www.iosrjournals.org 121 Page