Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing

Similar documents
No soft touch only automated systems can boost productivity and quality when lapping/polishing fragile GaAs wafers

Model 920 Monitoring and Measuring Plate Flatness During Lapping. Applications Laboratory Report 65. Lapping and Polishing. 1.

MANUFACTURING TECHNOLOGY

SEMI MAGNETIC ABRASIVE MACHINING

Accessories for the Model 920 Lapping and Polishing Machine

SEMICONDUCTORS MATERIALS AND CERAMICS

CMP for More Than Moore

Okamoto Machine Tool Works, LTD. June 22, th SEMATECH Symposium Japan 1

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching

Shape Adaptive Grinding of CVD Silicon Carbide on Graphite. for X-Ray Mirror Molding Dies

Elimination of Honing Stick Mark in Rack Tube B.Parthiban1 1, N.Arul Kumar 2, K.Gowtham Kumar 3, P.Karthic 4, R.Logesh Kumar 5

Advanced Machining Processes Professor Vijay K. Jain Department of Mechanical Engineering Indian Institute of Technology, Kanpur Lecture 06

Improved Cooling unit with Automatic Temperature Controller for Enhancing the Life of Ice Bonded Abrasive Polishing Tool

INTRODUCTION TO GRINDING PROCESS

Grinding Process Validation Approach (gpva)

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic

The HGTD: A SOI Power Diode for Timing Detection Applications

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS

LAPPING FOR MIRROR-LIKE FINISH ON CYLINDRICAL INNER AND END SURFACES USING THE LATHE WITH LINEAR MOTOR

A Laser-Based Thin-Film Growth Monitor

Developments in Precision Asphere Manufacturing Jay Tierson, Ed Fess, Greg Mathews OptiPro Systems LLC, 6368 Dean Parkway, Ontario NY 14519

Fine grinding of silicon wafers: designed experiments

IMPACT OF 450MM ON CMP

Setting up and Using Digital Micrometer Controlled Lapping Fixtures

ASAHI DIAMOND. SILICON PROCESSING TOOLS for SEMICONDUCTORS SEMICONDUCTOR B-52-1

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

i- Line Photoresist Development: Replacement Evaluation of OiR

Semiconductor Back-Grinding

A GRINDING-BASED MANUFACTURING METHOD FOR SILICON WAFERS: GENERATION MECHANISMS OF CENTRAL BUMPS ON GROUND WAFERS

HONING OPERATIONAL INFORMATION & TROUBLE SHOOTING DATA

Manufacturing Process of the Hubble Space Telescope s Primary Mirror

Printing versus coating technology Which way Printed Electronics with solution coating will go?

CMP characteristics of silicon wafer with a micro-fiber pad, and padconditioningwithhighpressuremicrojet(hpmj)

Copyright 2000 Society of Photo Instrumentation Engineers.

Parameter Optimization by Taguchi Methods for Polishing LiTaO3 Substrate. Using Force-induced Rheological Polishing Method

Ultra-thin Die Characterization for Stack-die Packaging

Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators

Prediction of subsurface damage depth of ground brittle materials by surface profiling. Jiwang Yan* and Tsunemoto Kuriyagawa

Wear of the blade diamond tools in truing vitreous bond grinding wheels Part I. Wear measurement and results

MultiPrep Procedure. Backside Thinning of a Flip-Chip Device G. D. Liechty, C. A. Smith, Allied High Tech Products, Inc.

NON-TRADITIONAL MACHINING PROCESSES ULTRASONIC, ELECTRO-DISCHARGE MACHINING (EDM), ELECTRO-CHEMICAL MACHINING (ECM)

Roughing vs. finishing

Grinding. Vipin K Sharma

Laser MicroJet Technology. Cool Laser Machining.

DIAMETER SELECTION ABRASIVE SELECTION

Lapping Plate 05M20.20

Part 5-1: Lithography

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER TWO METALLOGRAPHY & MICROSCOPY

Study of irradiated 3D detectors. University of Glasgow, Scotland. University of Glasgow, Scotland

Abrasive Machining and Finishing Operations

Cutting Strategies for Forging Die Manufacturing on CNC Milling Machines

Effect of Initial Deflection of Diamond Wire on Thickness Variation of Sapphire Wafer in Multi-Wire Saw

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Finishing Process. By Prof.A.Chandrashekhar

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

TECHNICAL BULLETIN BELT FINISHING WITH MICRO-MESH

High-speed Micro-crack Detection of Solar Wafers with Variable Thickness

Supplementary Note 1: Structural control of BCs. The availability of PS spheres in various

MEMS in ECE at CMU. Gary K. Fedder

Grinding and polishing discs COMBIDISC Corundum A Abrasive disc holders

SILICON WAFERS ROTATION GRINDING METHOD AND SURFACE GRINDING ON A ROTARY TABLE

STUDY OF ULTRASONIC MACHINING WITH WORKPIECE ROTATION OF BOROSILICATE GLASS

LAB V. LIGHT EMITTING DIODES

3D PRINTING & ADVANCED MANUFACTURING DESIGN GUIDELINES: DIRECT METAL LASER SINTERING (DMLS) STRATASYSDIRECT.COM

Basic function of head = reading information on the hard disc. Magnetic head mounted to a SS suspension arm. Hard Disc Air gap (

Development of Magnetorheological Finishing (MRF) Process for Freeform Surfaces

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Triple i - The key to your success

Swiss Wafer Slicing Technology for the Global PV Market from Meyer + Burger AG- Novel Trends for the Future in Photovoltaic Wafer Manufacturing

CERAMICS PROCESSING. SURFACE ENGINEERING THROUGH DIAMOND EXPERTISE Grinding, Lapping and Honing

Influence of different polishing materials in the material removal of steel samples

PRESENTATION OF METALLURGICAL SUPPLIES A METALLOGRAPHY WORLD CORPORATION POLISHING CLOTH'S

Abrasive Machining Processes. N. Sinha, Mechanical Engineering Department, IIT Kanpur

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Fundamental Characteristics of Grooving Aiming at Reduction of Kerf Loss Using an Ultrafine Wire Tool

(Refer Slide Time: 01:19)

ESCC2006 European Supply Chain Convention

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

LAB V. LIGHT EMITTING DIODES

New Optics for Astronomical Polarimetry

So in MAF process use of controllable magnetic field to direct the brush to adapt the contour of the workpiece surface to be finished and nature of

WHERE WE LIVE, QUALITY HAS A LONG TRADITION STREAM FINISHING UNITS SF SERIES. Precision finish demands. Precision finish demands. CF Series.

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Application Bulletin 240

CORRECTOR LENS FOR THE PRIME FOCUS OF THE WHT

Polishing of Fibre Optic Connectors

More specifically, I would like to talk about Gallium Nitride and related wide bandgap compound semiconductors.

The Ultra-Precision Polishing of Large Aperture Reaction Bonded Silicon Carbide Mirror

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

Study of electrical discharge machining technology for slicing silicon ingots

D DAVID PUBLISHING. Steel Valve Plate Grinding. 1. Introduction. Dijana Nadarevic and Mirko Sokovic

Training document Introduction: machine and cutting process

Wire management is key to productivity in wafer production

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications.

SUPPLEMENTARY INFORMATION

TECHVIEW. Impact of Diamond CMP Conditioning Disk Characteristics on Removal Rates of Polyurethane Polishing Pads. abrasive technology

Transcription:

Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing Author: Mark Kennedy www.logitech.uk.com

Overview The lapping and polishing of wafers for use in semiconductors and optical devices is a time consuming task that can risk damage to expensive custom wafers worth in excess of $5000 each if things do not go to plan. While lapping and polishing processes have become more predictable, there is often the need for a significant level of user expertise, guesswork and development time in order to optimise surface finish and repeatability. This can hamper the development of new technologies, especially as a process that is The process Every semiconductor wafer undergoes several common stages during manufacture, including slicing the wafer from the crystal, preparing the surface prior to fabrication and subsequent thinning of the device through the deployment of lapping and polishing techniques. After slicing, wafers made from silicon, III-V, I.R. and similar materials.sapphire, silicon carbide and other semiconductor and optical device materials are lapped to remove the surface damaged by the cutting process. Typically, this is performed using counter-rotating plates Industry requirements There are many reasons why wafer manufacturers need stability and repeatability when it comes to sample preparation. For instance, stringent quality requirements dictate that parameters such as total thickness variation (TTV), surface roughness and flatness must be minimised. In all cases, a fundamental understanding of the process is required to ensure a quality outcome. Different types of wafer materials, slurries and polishing pads, along with polishing rate, pressure and uniformity can all optimised at the pilot stage will often need to be revisited when transitioned to full production. The path to better process control lies with Preston s law, which provides a framework for predicting the amount of material that will be removed in a given time by lapping and polishing processes. By controlling the variables using advanced automated sample preparation systems, operator variability can be minimised and process accuracy and repeatability can be delivered. and an aluminium oxide abrasive with defined grain size distribution. During lapping, the flatness and microroughness of the wafers are improved. CMP (chemical mechanical polishing) is the final material removal step in manufacturing wafers. This process allows the attainment of super-flat, mirror-like surfaces with a remaining roughness on an atomic scale. Typically, CMP is achieved using a rotary or orbital motion of a chemical slurry between the wafer and a polishing plate. impact the resulting surface. It is also important not to overburden the surface with too much slurry, as is the potential to detect when the polishing process is complete. To put this in simple terms, it is vital to accurately predict the amount of material removed from a sample in a given time. Here, Preston s law can be deployed. Indeed, it is possible to analyse the Prestonian behaviour of material removal rate (MRR) to confirm that all-important process stability. and repeatability of wafer lapping and polishing 2

Preston s law Preston s equation states that the MRR is proportional to the product of the processing pressure/load/down-force and plate velocity. In the CMP process, the polishing rate and accuracy are affected not only by the flow of the slurry and the characteristics of the polishing plate, but also by the mechanical action between the wafer and the plate, the chemical action resulting from the chemical components of the slurry, and the interactions between them. M = a * p * v * t * + C (y = mx + c) Material Removed (µm) = Constant * Processing Pressure (g/cm2) * Plate Speed (rpm) * Processing Time (mins) + Constant Preston s law can be used to accurately predict the amount of material removed from a sample and confirm stability in the process. The process stability is generated by using a stable / accurate / repeatable processing platform such as that available on the Akribis-air. Needless to say, it s a very skilled job to achieve the precision and surface finish required in demanding wafer applications, due mainly to the required levels of manual set-up and control. It is also time consuming and not conducive to the productivity levels demanded by industry. After all, the search for cost reduction in semiconductor device production is driven by volume and yield. With this in mind, the Logitech team set up a process matrix to establish the stability and repeatability of a number of processes to guarantee conformance with Preston s law. The aim of the trials was to confirm that advanced sample preparation systems such as the Logitech Akribis-Air can offer the accuracy, repeatability and control to confidently deliver optimum surface finishes and precise geometric tolerances. and repeatability of wafer lapping and polishing 3

Silicon Lapping and Polishing Lapping and polishing trials using a typical silicon substrate deployed in semiconductor applications such as integrated circuits, solar and waveguides, proved extremely revealing. In a typical silicon lapping and polishing process, a series of steps are used, each with a different slurry solution. Firstly a coarse lapping process is undertaken, to remove material within 50 µm of the end point target. Previous experiments have shown that slurries containing Al 2 particles measuring 20 µm provide the optimum balance between material removal speed and maintaining the integrity of the underlying silicon wafer. In a second stage, a medium / fine lapping process is conducted, during which a finer, less abrasive 9 µm Al 2 slurry is used to remove materials to within 10 µm of the end point target. The final stage involves removing the final micrometers of material and any damage caused to the wafer during the lapping process using 32nm colloidal silica, Logitech SF1 polishing slurry. After undergoing all three stages, a typical surface roughness of Ra < 1nm is achievable. In short, tests to determine average silicon lapping at 50 rpm versus 100 rpm, showed an average MRR of 18-22 µm/min with the Akribis-Air compared with just 7-9 µm/ min using a standard Logitech lapping and polishing system. When added to the substantial time savings and accuracy provided with the automated set-up and control platform, and the internal clean-up facility, total process time savings were in the region of 40%. and repeatability of wafer lapping and polishing 4

Coarse lapping stage During the coarse lapping stage, 100 mm silicon substrates were processed using 20 µm Al 2 abrasive and a radial grooved cast iron plate. The slurry flow rate, abrasive type, plate type and plate speed were kept constant. Only the pressure (jig load) was varied, between 500 and 12,000 g of down force. MRR µm/min 24.00 22.00 20.00 18.00 16.00 14.00 12.00 10.00 8.00 6.00 4.00 2.00 0.00 Average Silicon Lapping with 20um Al 2 @ 50rpm vs 100rpm 500 1000 2000 4000 6000 7000 8000 10000 11000 12000 Jig Load g Av MRR vs. Jig Load @ 50rpm Av MRR vs. Jig Load @ 100rpm Linear (Av MRR vs. Jig Load @ 50rpm) Linear (Av MRR vs. Jig Load @ 100rpm) The trials showed experimental evidence of the relationship between pressure, plate speed and MRR. Moreover, the system demonstrated precise control of the processing pressure and plate speed to ensure accuracy and repeatability. This precise control also enables accurate modelling of the system, and parameters can be controlled to provide the optimum conditions for a desired MRR. and repeatability of wafer lapping and polishing 5

Medium / fine lapping During the next stage, a finer, less abrasive slurry was used that contained 9 µm Al 2 particles. Here, average MRR using the Akribis-Air was 4-6 µm/min in comparison with just 2-4 µm/min using a standard system. 10.00 Average Silicon Lapping with 9um Al 2 @ 50rpm vs 100rpm MRR µm/min 8.00 6.00 4.00 2.00 0.00 500 1000 2000 4000 6000 7000 8000 10000 12000 Jig Load g MRR vs. Jig Load @ 50rpm MRR vs. Jig Load @ 100rpm Linear (MRR vs. Jig Load @ 50rpm) Linear (MRR vs. Jig Load @ 100rpm) Again, the experimental results provided solid evidence of the precise control of processing parameters by the Akribis Air, which enabled accurate and precise material removal. By extrapolating system constants material removal rates could be accurately predicted, for Jig loads between 500g and 12000g. and repeatability of wafer lapping and polishing 6

Polishing The final polishing stage utilised an SF1 polishing slurry containing 32nm colloidal silica particles. Here the aim is to remove the final 10 µm of material along with any wafer damage caused during the lapping phase. 0.50 Average Silicon Polishing Rate um / min @ 50rpm vs 100rpm MRR µm/min 0.40 0.30 0.20 0.10 0.00 6000 8000 10000 12000 Jig Load g MRR vs. Jig Load @ 50rpm MRR vs. Jig Load @ 100rpm Linear (MRR vs. Jig Load @ 50rpm) Linear (MRR vs. Jig Load @ 100rpm) Due to the nature of the polishing stage, material removal rates of between 10-12 µm/hr were achieved at both 50 rpm and 100 rpm. The speed of material removal is considerably faster than the 2-4 µm/hr achieved under the same conditions using a Logitech PM5 /LP50 a set-up previously considered to be best-in-class. Despite these impressive removal rates, nothing was achieved at the detriment of quality. Indeed, the average TTV over the 100 mm silicon wafer was less than ±2 µm. Likewise; the average polished surface roughness was 1-2 nm, while average flatness was less than 2 µm. and repeatability of wafer lapping and polishing 7

Applicability to other materials The trials: sapphire To test the theory further, trials were also conducted on 50 mm sapphire wafers, which is typically the material of choice in LED substrate and optics applications. In Sapphire lapping with 240 µm BC (boron carbide) abrasive, again at 50 rpm versus 100 rpm, typical removal rate with the Akribis-Air was boosted to 3-5 µm/min from 1-3 µm/min using the standard system. Changing the abrasive for 400 µm BC showed similar gains of 0.5-1.5 µm/min over 0.3-0.8 µm/min. Regarding sapphire polishing at 100 rpm, the Akribis-Air was able to remove material at a rate of 1-3 µm/hr precisely double that achieved with the standard system. The average TTV over the 50 mm sapphire wafer was less than ±1 µm. Similarly, the average polished surface roughness was 1-2 nm, while average flatness was less than 2 µm. Sapphire Lapping with 240µm BC @50rpm vs 100rpm 5 MRR µm/min 4 3 2 1 0 2000 4000 6000 8000 10000 12000 Jig Load g MRR vs. Jig Load @ 50rpm MRR vs. Jig Load @ 100rpm and repeatability of wafer lapping and polishing 8

The trials: silicon carbide and gallium arsenide Akribis-Air trials on 100 mm silicon carbide substrates found in fibre optics, LED and power electronics applications demonstrated an average lapping MRR of 4-6 µm/min, and 4-6 µm/hr when polishing. The results were equally impressive on 100 mm gallium arsenide wafers, a material popular for solid state lasers, microwave frequency integrated circuits, monolithic microwave integrated circuits, infrared light-emitting diodes, laser diodes, solar cells and optical windows to list but a few. Here, lapping removal rates were controlled in the range of 7-10 µm/min, with polishing at 3-7 µm/hr. SiC Lapping with 240µm BC @100rpm 5.00 MRR µm/min 4.00 3.00 2.00 1.00 0.00 4000 6000 8000 10000 12000 Jig Load g Av MRR vs. Jig Load @ 100rpm For both silicon carbide and gallium arsenide, the average TTV over the wafer was less than ±2 µm, the average polished surface roughness was 1-2 nm, and the average flatness was less than 2 µm. For all wafer materials, including silicon and sapphire, the trials witnessed ±1 µm on end point thickness target values. Automated controls and air jigs To comprehend how such impressive results have been achieved, it is necessary to consider the differentiating features of automated systems such as the Akribis-Air, in particular features like automated controls and air jigs. It is clear that these technologies can help semiconductor and optical device manufacturers to precisely optimise the sample preparation process. For instance, automatic wafer thickness control helps deliver a high degree of geometric precision, flatness and parallelism, while software-driven set-up permits faster processing times (in tandem with plate speed up to 100 rpm) and more reliable results. There is also extensive parameter control for the processing of complex and fragile materials/devices, and metered abrasive feed supply for optimal processing and reduced waste. Another key aspect of the system is air-driven jig technology, which holds the sample or substrate in place during processing. Importantly, this delivers dynamic load control for faster, more responsive processing, while Bluetooth connectivity offers real-time data provision and improved levels of control. There is also an increased load range for higher removal rates while maintaining low TTV. and repeatability of wafer lapping and polishing 9

Conclusion Semiconductor and optical device manufacturers demand greater process control and real-time data in their quest for improved productivity and reliable, repeatable quality. Evidence from extensive trials demonstrates that automated sample preparation systems such as the Akribis-Air can offer significant process improvements, specifically relating to faster overall process times, and MRR up to three times faster than existing Logitech systems. Furthermore, low surface roughness values can be achieved more efficiently, along with high process repeatability and impressive accuracy, TTV and flatness control. and repeatability of wafer lapping and polishing 10

For further information please visit our website: www.logitech.uk.com