Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Similar documents
High Performance VLSI Design Using Body Biasing in Domino Logic Circuits

An Efficient keeper technique for dynamic logic circuits

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Energy-Efficient, Noise-Tolerant CMOS Domino VLSI Circuits in VDSM Technology

IJMIE Volume 2, Issue 3 ISSN:

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Domino Static Gates Final Design Report

Investigation on Performance of high speed CMOS Full adder Circuits

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

Ultra Low Power VLSI Design: A Review

Comparison of Power Dissipation in inverter using SVL Techniques

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Wide Fan-In Gates for Combinational Circuits Using CCD

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Implementation of dual stack technique for reducing leakage and dynamic power

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Current Analysis

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Leakage Power Reduction by Using Sleep Methods

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Low Power Design for Systems on a Chip. Tutorial Outline

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

PROCESS and environment parameter variations in scaled

Design & Analysis of Low Power Full Adder

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

A Low Power High Speed Adders using MTCMOS Technique

Implementation of Low Power High Speed Full Adder Using GDI Mux

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

ISSN:

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

A new 6-T multiplexer based full-adder for low power and leakage current optimization

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Design of Adder in Multiple Logic Styles for Low Power VLSI

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Power-Area trade-off for Different CMOS Design Technologies

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Implementation of High Performance Carry Save Adder Using Domino Logic

Low-Power Digital CMOS Design: A Survey

DESIGN OF LOW-POWER ADDER USING DOUBLE GATE & MTCMOS TECHNOLOGY

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

[Sri*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Power Efficient and Noise Immune Domino Logic for Wide Fan in Gates

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

A Literature Survey on Low PDP Adder Circuits

Design of Low Power Vlsi Circuits Using Cascode Logic Style

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Low Power &High Speed Domino XOR Cell

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

An Overview of Static Power Dissipation

Leakage Power Reduction in CMOS VLSI Circuits

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

Performance Analysis of High Speed CMOS Full Adder Circuits For Embedded System

UNIT-II LOW POWER VLSI DESIGN APPROACHES

BICMOS Technology and Fabrication

Transcription:

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email: rajulusg06@yahoo.co.in 2 Principal, RGMCET, Nandyal, JNTU, A.P. Email: jp.talari@gmail.com 3 RGMCET, Nandyal, A.P. Email: rams_ganguly@yahoo.co.in Abstract Dynamic domino logic circuits are widely used in modern digital VLSI circuits. These dynamic circuits are often favoured in high performance designs because of the speed advantage offered over static CMOS logic circuits. The main drawbacks of dynamic logic are a lack of design automation, a decreased tolerance to noise and increased power dissipation. However, domino gates typically consume higher dynamic switching and leakage power and display weaker noise immunity as compared to static CMOS logic circuits. In this work, a new low voltage swing circuit technique based on a dual threshold voltage CMOS technology is presented for simultaneously reducing active & standby mode power consumption and enhancing evaluation speed and noise immunity in domino logic circuits in 65 nm deep submicron technology (DSM). The proposed technique modifies both the upper and lower boundaries of the voltage swing at the dynamic node. Ground, power supply and threshold voltages are simultaneously optimized to minimize the power delay product (PDP). The proposed techniques are compared by performing detailed transistor simulations on benchmark circuits such as 1-bit Half Adder, 16-bit Adder, 16-bit Comparator, D-Latch, 4-bit LFSR using Microwind 3 and DSCH3 CMOS layout CAD tools. Index Terms CMOS, DSM technology, Domino logic, Dynamic power, Full-swing, Power, Power delay product, Reduced-swing. I. INTRODUCTION The power consumed in high performance microprocessors has increased to levels that impose a fundamental limitation to increasing performance and functionality [1] [3]. If the current trend in increasing power continues, high performance microprocessors will soon consume thousands of watts. The power density of a high performance microprocessor will exceed the power density levels encountered in typical rocket nozzles within the next decade [2]. The generation, distribution, and dissipation of power are at the forefront of current problems faced by the integrated circuit industry [1] [5]. The application of aggressive circuit design techniques which only focus on enhancing circuit speed without considering power is no longer an acceptable approach in most high complexity digital systems. 1 Salendra.Govindarajulu:- He is working as an Associate Professor in the Dept. of Electronics & Communication Engg. at RGMCET, Nandyal, Andhra Pradesh, India. He presented more than 07 International/National Technical Papers. He is a Life Member of ISTE, New Delhi. His interest includes Low Power VLSI CMOS design. 2 Dr.T.Jayachandra Prasad:- He is working as a Principal and Professor in the Dept. of Electronics & Communication Engg. at RGMCET, Nandyal Andhra Pradesh, India. He presented more than 24 International/National Technical Papers. He is Life Member in IE (I), CALCUTTA, Life Member in ISTE, NEW DELHI, Life Member in NAFEN, NEW DELHI, and IEEE Member. His interest includes Digital Signal Processing. Dynamic switching power, the dominant component of the total power consumed in current CMOS technologies, is quadratically reduced by lowering the supply voltage. Lowering the supply voltage, however, degrades circuit speed due to reduced transistor currents. Threshold voltages are scaled to reduce the degradation in speed caused by supply voltage scaling while maintaining the dynamic power consumption within acceptable levels [1] [5]. At reduced threshold voltages, however, subthreshold leakage currents increase exponentially. Energy efficient circuit techniques aimed at lowering leakage currents are, therefore, highly desirable. Domino logic circuit techniques are extensively applied in high performance microprocessors due to the superior speed and area characteristics of domino CMOS circuits as compared to static CMOS circuits [7] [8]. However, deep sub micrometer (DSM) domino logic circuits utilizing low power supply and threshold voltages have decreased noise margins [9] [11]. As on-chip noise becomes more severe with technology scaling and increasing operating frequencies, error free operation of domino logic circuits has become a major challenge [9], [10], [11]. The focus of this paper is to implement various Reducedswing domino logic circuit techniques which offer better speed, energy-efficiency and noise immunity in DSM technology. The organization of the paper is as follows. A brief review of the sources of power dissipation in CMOS circuits is provided in Section II. In Section III various reduced-swing techniques in domino logic circuits for power reduction are proposed. In Section IV simulation and implementation results are presented. Finally, conclusions are presented in Section V. II. SOURCES OF POWER DISSIPATION The power consumed by CMOS circuits can be classified into two categories: A. Dynamic Power Dissipation For a fraction of an instant during the operation of a circuit, both the PMOS and NMOS devices are on simultaneously. The duration of the interval depends on the input and output transition (rise and fall) times. During this time, a path exists between V DD and G nd and a short-circuit current flows. However, this is not the dominant factor in dynamic power dissipation. The major component of dynamic power dissipation arises from transient switching behaviour of the nodes. Signals in CMOS devices transition back and forth between the two logic levels, resulting in the charging and discharging of parasitic capacitances in the circuit. Dynamic power dissipation is proportional to the square of the supply voltage. In deep sub-micron processes, supply voltages and threshold voltages for MOS transistors are greatly reduced. This, to an extent, reduces the dynamic power dissipation. ISSN : 0975-4024 285

B. Static Power Dissipation This is the power dissipation due to leakage currents which flow through a transistor when no transactions occur and the transistor is in a steady state. Leakage power depends on gate length and oxide thickness. It varies exponentially with threshold voltage and other parameters. Reduction of supply voltages and threshold voltages for MOS transistors, which helps to reduce dynamic power dissipation, becomes disadvantageous in this case. The subthreshold leakage current increases exponentially, thereby increasing static power dissipation. III. CIRCUIT TECHNIQUES Dynamic domino logic circuits are widely used in modern VLSI circuits. These dynamic circuits are often favoured in high performance designs because of the speed advantage offered over static CMOS logic. The main drawbacks of dynamic logic are a lack of design automation, a decreased tolerance to noise and increased power dissipation. This work discusses several dual threshold voltage domino circuit design techniques to reduce the power dissipation of domino logic while simultaneously improving noise immunity. The benefits are achieved by limiting the voltage swing of the internal dynamic node in a typical domino gate. This dynamic storage node is the node connected to the input of the output inverter of a domino gate as shown in fig.1. current produced by the output inverter diminishes the active mode power savings and increases the idle mode power consumption. Propagation delay is also increased due to the significantly degraded gate overdrive of both transistors in the output inverter. A complete analysis of the effects of the reduced swing at the dynamic node on both noise tolerance and propagation delay is presented with respect to specific circuit configurations below. A. Single V t domino logic circuit with keeper: Fig.2. Domino logic circuit with keeper. Fig.1. Dynamic node low voltage swing domino logic circuit The above circuit is used to reduce the voltage swing at the dynamic node of a domino gate. N1, P1 remains always ON, therefore dynamic node voltage swing is from V gnd +V tp to V DD - V tn. This technique can reduce the energy required to charge /discharge the dynamic node of a domino gate. In the precharge phase, clock is low, dynamic node is charged to V DD -V tn by precharge transistor, output node is discharged to V gnd by N2. In evaluation phase, clock is high, the voltage at the dynamic node depends on pull-down network. In this circuit PMOS and NMOS transistors within the output inverter are simultaneously turned ON, producing a significant short-circuit current during both active mode and idle modes of operation. Short circuit The single V t domino logic circuit with keeper is shown in Fig.2. In the precharge phase, clock is low, dynamic node is charged to V DD by precharge transistor, output node is discharged to V gnd by N1. The evaluation phase begins when the clock transitions high, dynamic node is discharged to V gnd through pull down network provided that the inputs are high, output node is charged to V DD by P1. If any of the inputs are low, the voltage at the dynamic node depends on the pulldown network. Keeper circuit is used to maintain the voltage level at dynamic node which also increases the noise immunity. The voltage at the dynamic node should be V DD but gets diminished due to several reasons, by using the keeper in the above circuit with P2, N2 transistors pair forming inverter turns ON Pk transistor which pulls dynamic node to V DD. ISSN : 0975-4024 286

B. Single V t domino logic circuit with dual power supply, dual ground: Fig.3. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd The single V t domino logic circuit with dual power supply, dual ground is shown in Fig.3. In the precharge phase, clock is low, the dynamic node is charged to V DDL by precharge transistor, output node is discharged to V gnd by N1, P1 is weakly inverted. Weak inversion current produced by P1depends on the upper boundary of voltage swing (V DDL ) at the dynamic node and threshold voltage of P1 (V tp1 ). Evaluation phase begins when the clock transitions high. Dynamic node is discharged to V gndh through pull down network provided that the inputs are high. Output node is charged to V DD by P1, N1 is weakly inverted.weak inversion current conducted by N1 depends on the lower boundary of voltage swing (V gndh ) at the dynamic node and threshold voltage of N1 (V tn1 ). The voltage swing at the dynamic node is from V gndh to V DDL while the voltages at the output, clock and input nodes are maintained full swing between V gnd and V DD for high speed operations. V DDL and V gndh are chosen as optimized values to minimize the power consumption Fig.4. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd and with N1 high threshold D. Dual V t domino logic circuit with dual power supply, dual ground (N2 ground): C. Dual Vt Domino Logic circuit With Dual power supply, Dual Ground(N1 High Threshold): The dual V t domino logic circuit with dual power supply, dual ground (N1 high threshold) is shown in Fig.4. The NMOS transistor in the output inverter has high V t. Short circuit current is therefore reduced only in the evaluation phase if the inputs are high. Hence evaluation speed of the circuit is higher as compared to N1, P1 high threshold technique. Fig.5. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd and with N2 Ground The dual V t domino logic circuit with dual power supply, dual ground (N1 high threshold) is shown in Fig.5. N2 FET is grounded; these modifications are made to the basic circuit in ISSN : 0975-4024 287

order to analyze the variations in the parameters like power, delay, area, power delay product (PDP) and to find the efficient technique. E. Dual V t domino logic circuit with dual power supply, dual ground (N1, P1 high threshold): Pk, P2, N1 FETs threshold voltages are increased, these modifications are made to the basic circuit in order to analyze the variations in the parameters like power, delay, area, power delay product (PDP) and to find the efficient technique. G. Dual V t domino logic circuit with dual power supply, dual ground (P11,PK,P1 high threshold): Fig.6. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd and with N1, P1 high thresholds The dual V t domino logic circuit with dual power supply, dual ground (N1, P1 High Threshold) is shown in Fig.6. The short circuit current produced by the output inverter is suppressed during both the precharge and evaluation phases of operation, since the NMOS and PMOS transistors in the output inverter have high V t. However, evaluation speed is also degraded due to the weaker pull-up strength of high V t PMOS transistor. F. Dual V t domino logic circuit with dual power supply, dual ground (Pk, P2, N1 high threshold): Fig.7. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd and with N1, P2, Pk high thresholds The dual V t domino logic circuit with dual power supply, dual ground (Pk, P2, N1 high threshold) is shown in Fig.7. Fig.8. The dynamic node low voltage swing domino circuit V DDL <V DD, V gndh >V gnd and with Pk, P1, P11 High thresholds The dual V t domino logic circuit with dual power supply, dual ground (P11, PK, P1 High threshold) P11, Pk, P2 FETs threshold voltages are increased, these modifications are made to the basic circuit in order to analyze the variations in the parameters like power, delay, area, power delay product (PDP) and to find the efficient technique. IV. SIMULATION AND IMPLEMENTATION RESULTS In this work, the benchmark circuits using the stated seven techniques are implemented. The figures of merits used to compare these techniques are power consumption, propagation delay and power delay product (PDP). The benchmark circuits implemented are 1-bit Half Adder, 16-bit Adder, 16-bit Comparator, D-Latch, 4-bit LFSR. These design styles are compared by performing detailed transistor-level simulations on benchmark circuits using DSCH3 and Microwind3 CAD tool in 65 nm technology. The results of the benchmark circuits for all techniques are given below. Table1 shows the comparison of all the seven proposed techniques for 1-bit Half Adder. Table2 shows the comparison of all the techniques for 16-bit Adder. Table3 shows the comparison of all the techniques for 16-bit Comparator. Table4 shows the comparison of all the techniques for D-Latch. Table5 shows the comparison of all the techniques for 4-bit LFSR. From the results, it can be observed that the proposed logic techniques provide lower values of power dissipation, propagation delay and PDP when compared to the standard domino logic structure. ISSN : 0975-4024 288

Table 1. Optimum Values for 1-bit Half Adder: TECHNIQUES POWER(µw) DELAY(ns) PDP(10-15 w-s) sq.meter) Keeper 92.33 0.053 4.6116 114.5644 N2 GROUND 60.025 0.064 3.8415 126.742 Dual Supply 60.158 0.064 3.85 136.269 threshold 71.981 0.064 4.606 136.21 threshold 67.982 0.051 3.467 136.041 threshold 68.77 0.064 4.4012 137.182 N1 High threshold 67.99 0.064 4.3519 154.249 Table 2. Optimum Values for 16-bit Adder: S.NO TECHNIQUES POWER(mw) DELAY(ns) PDP(10-12 w-s) sq.meter) 1 Keeper 11.062 0.024 0.2654 12809.5 2 N2 GROUND 10.958 0.022 0.241 12826.9 3 Dual Supply 11.402 0.021 0.2394 13782 4 threshold 12.088 0.021 0.2538 12159.48 5 threshold 20.15 0.022 0.4433 15409.67 6 threshold 21.88 0.022 0.4813 15269 7 N1 High threshold 10.245 0.047 0.4815 10371 Table 3. Optimum Values for 16-bit Comparator:. S.NO TECHNIQUES POWER DELAY PDP(10-15 w- s) sq.meter 1 Keeper 10.475µW 0.064nS 0.6704 18914 2 N2 GROUND 8.204µW 0.059nS 0.484 19316 3 Dual Supply 7.795µW 0.064nS 0.4988 18329.6 4 threshold 8.457µW 0.064nS 0.5412 18369.4 5 threshold 8.506µW 0.065nS 0.5528 20240.6 6 threshold 10.432µW 0.064nS 0.6676 18453 7 N1 High threshold 8.560µW 0.063nS 0.5392 18840 ISSN : 0975-4024 289

Table4. Optimum Values for D-Latch: S.NO TECHNIQUES POWER(mw) DELAY(ns) PDP(10-12 w-s) sq.meter) 1 Keeper 0.158 0.056 8.848 215.56 2 N2 GROUND 0.163 0.075 12.22 254.92 3 Dual Supply 0.22 0.058 12.76 261.41 4 threshold 0.169 0.078 13.18 258.69 5 threshold 0.169 0.058 9.8 262.885 6 threshold 0.169 0.078 13.18 256.11 7 N1 High threshold 0.169 0.078 13.18 262.59 Table5. Optimum Values for 4-bit LFSR: TECHNIQUES POWER(mw) DELAY(ns) PDP(10-12 w- s) sq.meter) Keeper 4.587 0.049 0.2247 2255.05 N2 GROUND 2.976 0.056 0.1666 2493.05 Dual Supply 2.882 0.065 0.1873 2472.36 threshold 3.08 0.065 0.2002 2468.4 threshold 2.929 0.065 0.1903 2482.7 threshold 2.889 0.065 0.1877 2463.3 N1 High threshold 2.968 0.065 0.1929 2483.34 V.CONCLUSIONS In the deep submicron technology, power delay product must be reduced to increase the efficiency of a circuit. The circuit techniques employing dual thresholds, dual voltages, dual grounds are presented in this work for simultaneously reducing power dissipation and delay in domino circuits and also to increase the noise immunity. The parameters of power dissipation, delay and power delay product are calculated for 1- bit Half Adder, 16-bit Adder, 16-bit Comparator, D-Latch, 4- bit LFSR using different techniques in 65nm technology. From the results it can be concluded that the proposed logic technique which is dual threshold, dual ground and dual supply voltage with N1 high threshold shows good performance when compared to single threshold domino logic techniques. Hence it can be concluded that CMOS logic techniques proposed in this work yield low power, high performance operation with increased noise immunity. Hence, it is concluded that the proposed designs will provide a platform for designing high performance and low power digital circuits such as processors and multipliers. ACKNOWLEDGMENT The authors wish to thank RGMCET, Nandyal, A.P, India for providing the Microwind3 and DSCH tools. REFERENCES [1]. S. Borkar, Obeying moore s law beyond 0.18 micron, in Proc. IEEE Int. ASIC/SOC Conf., Sept. 2000, pp. 26 31. [2]. R. Ronen et al., Coming challenges in microarchitecture and architecture, Proc. IEEE, vol. 89, pp. 325 339, Mar. 2001. [3]. M. T. Bohr, Nanotechnology goals and Challenges for electronic applications, IEEE Trans.Nanotechnol., vol. 1, pp. 56 62, Mar. 2002. [4]. D. J. Frank et al., Device scaling limits of Si MOSFET s and their application dependencies, Proc. IEEE, vol. 89, pp. 259 288, Mar. 2001. [5]. R. K. Krishnamurty, A. Alvandpour, V. De, and S. Borkar, High-performance and low-power challenges for sub-70 ISSN : 0975-4024 290

nm microprocessor circuits, in Proc. IEEE Custom Integrated Circuits Conf., May 2002, pp.125 128. [6]. S. Mutoh et al., 1-V power supply high-speed Digital circuit technology with multithreshold- voltage CMOS, IEEE J. Solid-State Circuits, vol.30, pp. 847 854, Aug. 1995. [7]. V. Kursun and E. G. Friedman, Domino logic with dynamic body Biased keeper, in Proc. Eur. Solid- State Circuits Conf., Sept. 2002, pp.675 678. [8] Variable threshold voltage keeper for contention reduction in dynamic circuits, in Proc. IEEE Int. ASIC/SOC Conf., Sept. 2002, pp.314 318. [9]. S. Borkar, Low Power Design Challenges for the Decade, Proceedings of the IEEE/ACM Design Automation Conference, pp. 293-296, June 2001. [10].P. Srivastava, A. Pua, and L. Welch,.Issues in the Design of Domino Logic Circuits,. Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 108-112, February 1998. [11].G. Balamurugan and N. R. Shanbhag,.Energy- efficient Dynamic Circuit Design in the Presence of Crosstalk Noise,. Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 24-29, August 1999. [12].Salendra.Govindarajulu, Dr.T.Jayachandra Prasad Considerations of Performance Factors in CMOS Designs, in Proc. of 2008 International Conference in Electronic Design, IEEE, December 1-3, 2008, Penang, Malaysia. [13].Salendra.Govindarajulu, Dr.T.Jayachandra Prasad Low Power, Energy-efficient Domino logiccircuits, CEE2009, IJRTE, Volume 2, Number 7, November 2009,pp.30-33. Biographical Notes 1 Salendra.Govindarajulu:- He is working as an Associate Professor in the Dept. of Electronics & Communication Engg. at RGMCET, Nandyal, Andhra Pradesh, India. He presented more than 15 International/National Technical Papers. He is a Life Member of ISTE, New Delhi. His Research interest includes Low Power VLSI CMOS design. 2 Dr.T.Jayachandra Prasad:- He is working as a Principal and Professor in the Dept. of Electronics & Communication Engg. at RGMCET, Nandyal Andhra Pradesh, India. He presented more than 42 International/National Technical Papers. He is Life Member in IE (I), CALCUTTA, Life Member in ISTE, NEW DELHI, Life Member in NAFEN, NEW DELHI, and IEEE Member. His interest includes Digital Signal Processing. ISSN : 0975-4024 291