PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

Similar documents
PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

Beam quality of a new-type MOPO laser system for VUV laser lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Optical Microlithography XXVIII

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

Performance of Very High Repetition Rate ArF Lasers

Laser Produced Plasma Light Source for HVM-EUVL

MICROCHIP MANUFACTURING by S. Wolf

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

How-to guide. Working with a pre-assembled THz system

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Experimental measurement of photoresist modulation curves

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

New CD-SEM System for 100-nm Node Process

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

Feature-level Compensation & Control

Update on 193nm immersion exposure tool

SMART LASER SENSORS SIMPLIFY TIRE AND RUBBER INSPECTION

A novel tunable diode laser using volume holographic gratings

Improving registration metrology by correlation methods based on alias-free image simulation

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Spectral and Polarization Configuration Guide for MS Series 3-CCD Cameras

Filters for Dual Band Infrared Imagers

Mach 5 100,000 PPS Energy Meter Operating Instructions

Pellicle dimensions for high NA photomasks

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Process Optimization

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Development of innovative fringe locking strategies for vibration-resistant white light vertical scanning interferometry (VSI)

Evaluation of high power laser diodes for space applications: effects of the gaseous environment

Immersion Lithography Micro-Objectives

Part 5-1: Lithography

Fastest high definition Raman imaging. Fastest Laser Raman Microscope RAMAN

ND:YAG/ND:YLF...T-26 TUNABLE LASER MIRRORS...T-28 MISCELLANEOUS MIRRORS...T-30 ANTI-REFLECTIVE OVERVIEW...T-31 0 DEGREE ANGLE OF INCIDENCE...

PHOTOREFLECTIVE SENSOR LEADFRAME PACKAGE

11Beamage-3. CMOS Beam Profiling Cameras

Nano Beam Position Monitor

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

680nm Quasi Single-Mode VCSEL Part number code: 680Q-0000-X002

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

940nm Single-Mode VCSEL Part number code: 940S-0000-X001

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

High Powered 860nm VCSELs HVS

Multi-kW high-brightness fiber coupled diode laser based on two dimensional stacked tailored diode bars

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

An Optical Characteristic Testing System for the Infrared Fiber in a Transmission Bandwidth 9-11μm

PCS-150 / PCI-200 High Speed Boxcar Modules

Ultra-stable flashlamp-pumped laser *

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Using Frequency Diversity to Improve Measurement Speed Roger Dygert MI Technologies, 1125 Satellite Blvd., Suite 100 Suwanee, GA 30024

DL Blue Laser Diode in TO38 ICut Package. PRELIMINARY Datasheet. Creative Technology Lasers (925) Tele.

Atlantic. Industrial High Power Picosecond Lasers. features

Nikon F2 Exposure Tool

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

The OPV300 / OPV310 have a flat lens while the OPV314 has a microbead lens. Refer to mechanical drawings for details.

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI)

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

NL300 series. Compact Flash-Lamp Pumped Q-switched Nd:YAG Lasers FEATURES APPLICATIONS NANOSECOND LASERS

MicroSpot FOCUSING OBJECTIVES

Compact ellipsometer employing a static polarimeter module with arrayed polarizer and wave-plate elements

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

PRELIMINARY. This application note documents performance of the CSA with the TLS-650 (New Focus 6528) tunable laser.

High Peak Power Fiber Seeds & Efficient Stabilized Pumps

OPC Rectification of Random Space Patterns in 193nm Lithography

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS

High Power and Energy Femtosecond Lasers

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Optical Phase Lock Loop (OPLL) with Tunable Frequency Offset for Distributed Optical Sensing Applications

EVLA Memo 105. Phase coherence of the EVLA radio telescope

In-line focus monitoring and fast determination of best focus using scatterometry

Flash-lamp Pumped Q-switched

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

LaserBeam ProfilingSolutions. IRLaserBeam Profiler

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Evaluation of Scientific Solutions Liquid Crystal Fabry-Perot Etalon

Low aberration monolithic diffraction gratings for high performance optical spectrometers

DU-897 (back illuminated)

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

DM Dual Head Green Series

A LATERAL SENSOR FOR THE ALIGNMENT OF TWO FORMATION-FLYING SATELLITES

Wavelength Control and Locking with Sub-MHz Precision

A Thermal Compensation System for the gravitational wave detector Virgo

Transcription:

PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato Moriya, Hideyuki Ochiai, Yoshinobu Watabe, Keisuke Ishida, Hiroyuki Masuda, et al.

Technology for Monitoring Shot-Level Light Source Performance Data to Achieve High Optimization of Lithography Processes Masato Moriya*, Hideyuki Ochiai, Yoshinobu Watabe, Keisuke Ishida, Hiroyuki Masuda, Youichi Sasaki, Takahito Kumazaki, Akihiko Kurosu, Takeshi Ohta, Kouji Kakizaki, Takashi Matsunaga, Hakaru Mizoguchi Gigaphoton Inc., 400 Yokokurashinden, Oyama-shi, Tochigi 323-8558, JAPAN ABSTRACT Gigaphoton has developed a new monitoring system that provides shot-level light source performance data to FDC systems during exposure time. The system provides basic monitoring data (e.g. Energy, Wavelength, Bandwidth, etc.) and beam performance data, such as Beam Profile, Pointing, Divergence, Polarization can also be monitored using a new metrology tool called the Beam Performance Monitor (BPM) module. During exposure time the system automatically identifies the start and end timing of the wafer and each shot based on the burst of firing signals from the scanner, and stores the measured data in sequence. The stored data is sorted by wafer or by shot, and sent to REDeeM Piece which in turn converts the data to the user's protocol and send it to the FDC system. The user also has the option to directly view or download the stored data using a GUI. Through this monitoring system, users can manage light sources data at the shot or reticle level to facilitate optimization of performance and running cost of the light source for each process. This monitoring system can be easily retrofitted to Gigaphoton's current ArF laser light sources. The beam splitter of the BPM was specially designed to bend only a small fraction of the source beam, so we are able to simply install the BPM without the need for special optical alignment. Keywords: ArF excimer laser, 193nm lithography, FDC, monitoring system, beam performance metrology 1. INTRODUCTION FDC (Fault Detection & Classification) systems are widely adopted by lithography processes in order to improve the yield rate and availability factor of facilities. Recently there have been some attempts to stabilize and optimize the lithography process by using the FDC system to read and analyze the light source performance data of each wafer[1] [2]. In addition to the three typical metrology items (Pulse Energy, Wavelength, and Bandwidth), the beam parameters (Beam Profile, Pointing, Divergence, and Polarization) of the light source are measured at the wafer level using a new insitu metrology module and provided to the FDC system. It is expected that these enhanced monitoring capabilities contribute to 1) The ability to find correlations between light source parameters and lithography performance, such as CD control, and identify clues for improving stability or optimizing light source cost that is tailored to each user s process. 2) The ability to check or minimize the change of beam parameters before and after service events of the light source. In this paper we report our new monitoring systems which provide shot-level light source performance data and the prospects of their advantages that will expand benefits of monitoring at the reticle level. 2.1 System configuration 2. ENHANCED LASER MONITORING SYSTEM The new monitoring systems, which we call smonitoring and emonitoring, can be easily added to Gigaphoton's GT62A series ArF Excimer Lasers and successive models. Table 1 shows their system configuration, and Figure 1 shows their system overview diagram. The smonitoring system intercepts and provides basic monitoring data, such as *masato_moriya@gigaphoton.com; phone +81-285-28-8416; fax +81-285-28-8439; http://www.gigaphoton.com Optical Microlithography XXVII, edited by Kafai Lai, Andreas Erdmann, Proc. of SPIE Vol. 9052, 90522E 2014 SPIE CCC code: 0277-786X/14/$18 doi: 10.1117/12.2046128 Proc. of SPIE Vol. 9052 90522E-1

Wavelength, Energy, E95 Bandwidth, Chamber Gas Pressure and HV. The conventional Main Controller can retrieve this basic data every 5 seconds, but introducing additional control hardware (Control Box and Branching unit) will allow the system to acquire the data more frequently at shot-level intervals. The emonitoring system also provides shot-level beam performance data, such as Beam Profile, Pointing, Divergence, and Degree of Polarization. To obtain the beam performance data provided by emonitoring, we have developed a new metrology module called the Beam Performance Monitor (BPM) module that can be retrofitted to our existing light source. Users are able to leverage the best configuration from these enhanced monitoring systems in terms of cost performance. During exposure time, the Control Box automatically identifies the start and end timing of each wafer and each shot based on the burst of firing signals from the scanner, and stores the measured data in sequence. The stored data is sorted by wafer or by shot, and sent to the REDeeM Piece software which in turn converts the data to the user's protocol and sends it to the FDC system. The user also has the option to directly view or download the stored data through a graphical user interface. Table 1. Configuration of enhanced laser monitoring system Item smonitoring emonitoring Data acquisition interval every 5 sec. by shot Key performance data monitoring -Energy -Wavelength -Bandwidth (E95, FWHM) -Chamber Gas Pressure, Life time etc. Beam performance data monitoring -Beam Pointing -Beam Divergence -Beam Size, Centre of Gravity Position -DOP (Degree of Polarization) N/A N/A Additional hardware Control Box and Branching unit N/A BPM (New metrology module) N/A N/A smonitoring system emonitoring system Laser Equipment Wavelength data Energy data Branching unit I/F BOX LE / LHV WE / E95 E95 data BPM REDeeM Piece FDC Main controller Control BOX H U B LAN Beam Performance data (Beam size & COG position, Pointing, Divergence and Polarization) Figure 1. System overview diagram Proc. of SPIE Vol. 9052 90522E-2

2.2 The Beam Performance Monitor module The BPM module is equipped with the following three sensors and functions. Sensor Function 1) BP sensor Captures the near field image of the beam and measures Beam Size and COG Position 2) BD sensor Captures the far field image of the beam and measure Beam Divergence and Pointing 3) Polarization sensor Measures the beam s DOP Figure 2 shows the actual captured images of the BP and BD sensors when the BPM module is installed in the GT63A laser. Images are captured during each shot, and the Control Box calculates the beam performance data through image processing. Figure 2. Captured images from the BP (left) and BD (right) sensors When we define our laser s main polarization as p-polarized, the polarization sensor of the BPM will detect the s- polarized radiance of every pulse. For s- and p-polarized radiances, Is and Ip, respectively, the degree of polarization, or DOP, is calculated as follows: DOP = Ip Ip Is Is (1) Since the BPM measures the Is of every pulse, and the conventional Monitor Module measures total radiance (Em) of every pulse which is equivalent to Ip+Is, we can calculate DOP as follows: DOP = ( Ip Is) 2Is Ip Is = Em 2Is Em (2) The Control Box calculates shot-level DOP data by using the Is data from the BPM and Em data from the Monitor Module. The beam splitter (BS) in the BPM module was specially designed to bend only a small fraction of the source beam. This enables us to simply install the BPM without the need for special optical alignment and helps to reduce service time of the laser source conversion. During our initial design of the BPM module s BS, we considered multiple design variations at shown in Table 2. The ultimate decision was to adopt the type 3 configuration. Type 1 is a typical design of a single BS that samples the near field image of the beam using a wedged substrate. It can reject ghost image reflected from back surface (4) of the Proc. of SPIE Vol. 9052 90522E-3

substrate, but type 1 has a fatal problem where the wedged substrate shifts and bends the original beam. The type 2 configuration is able to keep the original beam from shifting and bending, but it is not able to adequately suppress back surface reflection, even if we adopt state of the art anti-reflection coating. In the type 3 design, the second wedge substrate compensates shift and bend of the beam due to the first wedged BS, but in order to minimize power loss, we positioned the angle of incidence on the surfaces (2) and (3) to Brewster s angle. This has proven to be the best solution. Table 2. Configuration of the beam splitter Type 1 Type 2 Type 3 to Beam dumper (3) BS configuration to BP sens r, BD sensor, nd Polarization sensor to BP sensor, BD sensor, and Polarization sensor I XI ir to BP sensor, BD sensor, and Polarization sensor to Beam dumper One BS (wedged substrate) (1) AOI = 45 degree (2) AOI = Brewster's angle Two BS (parallel substrate) (1), (2), (3), (4) AOI = 45 degree Two BS (wedged substrate) (1), (4) AOI = 45 degree (2), (3) AOI = Brewster's angle Shift and bend of beam NG OK OK Ghost image Not acceptable OK on BP sensor even if AR coating is adopted on (4) OK Power loss ~0.8% - ~1.7% Volume claim Small Large Medium We manufactured two identical wedged substrates and aligned surfaces (1) and (4) in parallel, as well as (2) and (3) simultaneously. To maintain the pointing and position of the original beam, we have to keep the above optical alignment intact. To achieve this, we designed special mounting holders for the BS optics that have enough stability against mechanical and thermal impact. 3.1 Light source performance data in shot-level 3. APPLICATION DATA Figure 3 shows the acquired data scheme and its relationship to the shot map of a wafer. In our monitoring system, data is acquired at the shot-level, which means reticle-level monitoring. User can monitor or analyze data not only at the wafer-level, but also at the reticle-level in a wafer. In the shot map, the yellow colored reticles, which tend to be located at the edge of the wafer, are automatically excluded by the software. The Control Box sorts the effective shot data (shown in blue), calculates the wafer data, and sends both data to the user s FDC system through REDeeM Piece. Wafer data is an average of all the shot data in a single wafer. The maximum, minimum, and standard deviation are also calculated. Proc. of SPIE Vol. 9052 90522E-4

1 16 31 46 61 76 91 106 121 136 151 166 181 196 211 226 241 256 271 Degree of Polarization [%] 50 100 150 200 250 Wafer data Maximum Average Minimum k*it--***.kar= 283 266 267 268 269 270 272 274 24 261 260 59 258 257 253 252 251 51 232 233 235 296 237 298 240 241 249 244 246 247 248 296 228 227 225 224 222 26 219 218 217 216 215 214 213 212 211 1% 191 192 196 194 15196 197 1% 196 296 202 23 205 207 SB 209 189 188 187 188 185 184 183122 181 196 179 170 177 176 175 174 178 172 171 170 169 68 Wafer number or time 148 147 148 149 196 151 152 19 154 155 156 157 196 14 1W 161 14 14 164 14 168 145 144 143 142 141 140 196133 137 136 135 134 133 132 131 130 120 128 127 126 125 67 24 1æ 103 104 los 106 107 196109 uo 111 112 113 114 115 116 117 118 119 120 121 122 23 101 196 99 97 96 96 94 W 92 91 W W es 87 96 84 tl 82 61 62 83 4 W 67 68 W 70 71 74 76 77 co 59 58 57 W S 54 53 51 W 49 49 47 45 Shots data in a wafer 25 26 5 29 W 96 37 98 40 24 17 14 13 12 20 19 18 16 15 11 2 4 6 7 8 10 Shots number or time Shots number located in a wafer Figure 3. Scheme of acquired wafer- and shot-level data and example of shots map in a wafer Figure 4 shows the actual shot data from the DOP. The data tends to get slightly worse through one wafer exposure. During the next wafer s initial shots, the DOP recovers and the trend is repeated as shown in Fig. 4. We know this trend is caused by the thermal transient of laser optics, but do not believe this fluctuation of the DOP affects lithography performance. But we expect that, with our new monitoring system, the user will investigate the trend of the shots data in a wafer, verify the stability of the beam parameters for each reticle, or determine whether the light source parameters play a critical role in affecting the outcome of a particular location on the wafer during exposure. 100 99.9 99.8 99.7 99.6 99.5 99.4 99.3 99.2 99.1 99 Shot number (Reticle number) in a wafer Figure 4. Actual DOP data of shots in a wafer In Figure 5, we also added other beam performance shot data which were obtained using the BPM. We ran our laser through an emulated shot pattern of a chip maker and acquired the resulting laser parameters. The data is plotted in order of time. Each data block belongs to shots in a wafer, so seven wafer shots are shown in the graph. Proc. of SPIE Vol. 9052 90522E-5

Pointing H Pointing V LCL Divergence H Divergence V LCL 100% DOP 99% COG Position H COG Position V LCL Beam Size H Beam Size V LCL One wafer period Time Time Figure 5. Other shot data measured using the BPM 3.2 Long-term source performance data We installed the emonitoring system into our GT63A laser and ran module life time tests to obtain the long term performance data of the light source. Figure 6 shows the obtained data during about a 2.5 month period. On November 12th we replaced the Line Narrowing Module (LNM), AMP chamber, and the Optical Pass Stretcher (OPS) module because they ran over their expected lifetimes. This monitoring data shows that this service event caused changes to the Beam COG position, Divergence, and DOP of the light source. Beam pointing, however, was precisely maintained during this replacement service. Proc. of SPIE Vol. 9052 90522E-6

1 0 10/3 10/13 10/23 11/2 11/12 11/22 12/2 12/12 12/22 LCL -1 0.5 0 10/3 10/13 10/23 11/2 11/12 11/22 12/2 12/12 12/22-0.5 LCL 1.7 Date 1.2 1.2 0.8 LCL 0.7 0.4 110/3 10/13 10/23 11/2 11/12 11/22 12/2 12/12 12/22 Date LCL 0.9 10/3 10/13 10/23 11/2 11/12 11/22 12/2 12/12 12/22 Date Figure 6. Long term data measured with BPM In this way, we can check the long term light source performance stability and also change of beam parameters before and after service events. However all of obtained data were within the specified control range, but we can recognize drifts and gaps in the beam parameter. If these drift and gaps are critical for stability of the lithography process, we have to further improve stability of our light source or improve accuracy of adjustment procedure during service events. On the other hand, if users consider some parameters as being less strict in maintaining process performance of lithography, they will able to keep using the light source as long as its parameters are within their specified critical range effectively reducing the overall running cost of light source and frequency of service events. Since the wafer data includes not only the average of wafer shots but also its maximum, minimum, and standard deviation as shown in Figure 4, and we can also check the variations of the min-max differences and standard deviations over long term operation. Proc. of SPIE Vol. 9052 90522E-7

4. SUMMARY Gigaphoton has developed a new monitoring system that provides shot-level light source performance data to FDC systems during exposure time. The system provides basic monitoring data (e.g. Energy, Wavelength, Bandwidth, etc.) and beam performance data, such as Beam Profile, Pointing, Divergence, and Polarization monitored at the shot-level, using a new metrology tool. Through this monitoring system, users can manage light source data at the shot or reticle level to facilitate optimization of performance and running cost of the light source for each process. This monitoring system can be easily retrofitted to Gigaphoton's existing ArF laser light sources REFERENCES [1] J.Choi et al., Enhancing lithography process control through advanced, on-board beam parameter metrology for wafer level monitoring of light source parameters, Proc. SPIE Optical Microlithography XXV 8236,99 (2012) [2] P.Alagna et al., "Lithography imaging control by enhanced monitoring of light source performance", Proc. SPIE Optical Microlithography XXVI 8683 (2013). Proc. of SPIE Vol. 9052 90522E-8