Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Similar documents
Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

BPSK System on Spartan 3E FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

Design and Implementation of BPSK Modulator and Demodulator using VHDL

Implementation of Digital Communication Laboratory on FPGA

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

Design of Digital Baseband Subsystem for S-Band Transponder

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

Implementation of Digital Modulation using FPGA with System Generator

System Generator Based Implementation of QAM and Its Variants

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Optimized BPSK and QAM Techniques for OFDM Systems

International Journal of Advanced Research in Computer Science and Software Engineering

FPGA based generalized architecture for Modulation and Demodulation Techniques

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Versuch 7: Implementing Viterbi Algorithm in DLX Assembler

Design of a Digital Transmission System Using ASAK for the Transmission and Reception of Text Messages Using LABVIEW

Simulation and Verification of FPGA based Digital Modulators using MATLAB

FPGA Implementation of QAM and ASK Digital Modulation Techniques

Software-Defined Radio using Xilinx (SoRaX)

EVALUATING PERFORMANCE OF DIFFERENT MODULATION SCHEMES ON MODIFIED COOPERATIVE AODV

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator

VLSI Implementation of Digital Down Converter (DDC)

The figures and the logic used for the MATLAB are given below.

Design and Simulation of a Composite Digital Modulator

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx

REAL TIME IMPLEMENTATION OF FPGA BASED PULSE CODE MODULATION MULTIPLEXING

DESIGN OF A VERIFICATION TECHNIQUE FOR QUADRATURE PHASE SHIFT KEYING USING MODEL SIM SIMULATOR FOR BROADCAST COMMUNICATION RELEVANCE S

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

Communication Systems Lab

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

Implementation of Space Time Block Codes for Wimax Applications

Performance Measurement of Digital Modulation Schemes Using FPGA

Comparison of BER for Various Digital Modulation Schemes in OFDM System

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE)


Amplitude Frequency Phase

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

German Jordanian University Department of Communication Engineering Digital Communication Systems Lab. CME 313-Lab

BSc (Hons) Computer Science with Network Security. Examinations for Semester 1

DESIGN AND IMPLEMENTATION OF QPSK MODULATOR USING DIGITAL SUBCARRIER

Downloaded from 1

An FPGA based Implementation of Baseband and Passband Modulation for Wireless Transmitters

UTILIZATION OF AN IEEE 1588 TIMING REFERENCE SOURCE IN THE inet RF TRANSCEIVER

An Optimized Design for Parallel MAC based on Radix-4 MBA

Experiment 19 Binary Phase Shift Keying

EXPERIMENT 1: Amplitude Shift Keying (ASK)

A Simulation of Wideband CDMA System on Digital Up/Down Converters

Chapter 4. Part 2(a) Digital Modulation Techniques

FPGA Based, Low Cost Modulators of BPSK and BFSK, Design and Comparison of Bit Error Rate over AWGN Channel

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

EXPERIMENT 2: Frequency Shift Keying (FSK)

2. TELECOMMUNICATIONS BASICS

Laboratory 5: Spread Spectrum Communications

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

BINARY AMPLITUDE SHIFT KEYING

Design & Implementation of an Adaptive Delta Sigma Modulator

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

A PROTOTYPING OF SOFTWARE DEFINED RADIO USING QPSK MODULATION

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

Serial and Parallel Processing Architecture for Signal Synchronization

ECE5713 : Advanced Digital Communications

The quality of the transmission signal The characteristics of the transmission medium. Some type of transmission medium is required for transmission:

Design of Low power Reconfiguration based Modulation and Demodulation for OFDM Communication Systems

ECE 3500: Fundamentals of Signals and Systems (Fall 2015) Lab 4: Binary Phase-Shift Keying Modulation and Demodulation

Overview of Digital Mobile Communications

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

Signal Characteristics

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

Design of 2 4 Alamouti Transceiver Using FPGA

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

Laboratory Manual for EL-492

BER Performance Comparison between QPSK and 4-QA Modulation Schemes

AM, PM and FM mo m dula l ti t o i n

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

EE452 Senior Capstone Project: Integration of Matlab Tools for DSP Code Generation. Kwadwo Boateng Charles Badu. May 8, 2006

FPGA Based Hardware Efficient Digital Decimation Filter for - ADC

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

RF Basics 15/11/2013

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

17 - Binary phase shift keying

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Performance analysis of OFDM with QPSK using AWGN and Rayleigh Fading Channel

Implementation of a BPSK Transceiver for use with KUAR

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Anju 1, Amit Ahlawat 2

Universitas Sumatera Utara

AD9361 transceiver IC are explored. The signal properties are tested on spectrum analyzer. Index Terms: DS-SS, CDMA, Gold code, SOC.

Implementation of a Block Interleaver Structure for use in Wireless Channels

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Design and Implementation of SDR Transceiver Architecture on FPGA

VHDL Implementation of High Performance Digital Up Converter Using Multi-DDS Technology For Radar Transmitters

RECOMMENDATION ITU-R F ARRANGEMENT OF VOICE-FREQUENCY, FREQUENCY-SHIFT TELEGRAPH CHANNELS OVER HF RADIO CIRCUITS. (Question ITU-R 145/9)

Transcription:

www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL INDIRA 1 M.Tech, ECE Dept, TKR College of Engineering and Technology, Hyderabad, AP-INDIA. E-mail: angel.indira25@gmail.com. Abstract: The paper presents a theoretical background overview of the digital communication systems and the BPSK modulation. The BPSK modulation represents an important modulation technique in terms of signal power. The BPSK system is simulated using Mat lab/ Simulink environment and System Generator, a tool from Xilinx used for FPGA design as well as implemented on Spartan 3E Starter Kit boards. The local clock oscillator of the board is 50 MHz which corresponds with a period of 20ns. The frequency of the BPSK carrier is 31,250 khz. Keywords: BPSK, System Generator, Spartan 3e. I. INTRODUCTION In the last years, a major transition from analog to digital modulation techniques has occurred and it can be seen in all areas of satellite communications systems, cellular and wireless. A digital communication system is more reliable than an analog one thanks to the advanced signal processing algorithms used at the transmitter and the receiver ends. The aim of the paper is to create a BPSK (Binary Phase Shift Keying) system made of a modulator, a channel and a demodulator. The modulated signal was achieved in the first Spartan 3E board, passed through a channel and transmitted to the second board, which behaves as a Demodulator. At the end of the demodulator, the modulating signal was obtained. The main difference is the System. Generator block which makes possible the administration of the Xilinx components. The resources used in generating the BPSK modulation and demodulation were a computer with the Xilinx Web Pack ISE on it, two Spartan 3E Starter Kit boards and a LeCroy Wave Surfer Xs Series Oscilloscope, a high performance digital oscilloscope. The paper is organized into 6 sections. The paper begins with an introduction in MS.P.GAYATHRI 2 ECE Dept, TKR College of Engineering and Technology, Hyderabad, AP-INDIA. section 1. Section 2 presents the theoretical backgrounds about the digital communication system and about the BPSK modulation. After discussing in theory, implementation of the BPSK system in Mat lab/ Simulink and System Generator are presented in section 3. Section 4 is dedicated to the implementation of the system: modulator on the Spartan 3E Starter Kit boards. The results are discussed in section5. The final section 6, presents the conclusions. II. THEORETICAL BACKGROUND A. Digital Communication System A typically digital communication system is presented in Fig.1. Fig1. A Digital Communication System. The components of the digital communication system are both digital and analog parts. The digital part consists of digital source/user, source encoder/ decoder, channel encoder/ decoder and the digital modulator/ demodulator. The analog part is made of the transmitter, receiver, the channel models and noise models. The message to be sent Copyright @ 2013 SEMAR GROUPS TECHNICAL SOCIETY. All rights reserved.

MISS ANGEL INDIRA, MS.P.GAYATHRI is from a digital source, in our case, from a computer. The source encoder accepts the digital data and prepares the source messages. The role of the channel encoder is to map the input symbol sequence into an output symbol sequence. The binary information obtained at the output of the channel encoder is than Passed to a digital modulator which serves as interface with the communication channel. The main purpose of the modulator is to translate the discrete symbols into an analog waveform that can be transmitted over the channel. In the receiver, the reverse signal processing happens. A channel is the physical medium that carries a signal between the transmitter and the receiver. The signal is corrupted with noise whatever the medium used for transmission. The role of a digital communication system is to transport digital data between the transmitter and receiver. As the signals propagate between the two nodes, they may be submitted to distortion due the channel imperfection. The digital data is transmitted between the transmitter and the receiver by varying a physical characteristic of a sinusoidal carrier, either the frequency or the phase or the amplitude. This operation is performed with a modulator at the transmitting end to impose the physical change to the carrier and a demodulator at the receiving end to detect the resultant modulation on reception. with a sinusoidal carrier and the BPSK modulated signal s(t) is obtained. The waveforms of the BPSK signal generated by the modulator are shown in fig.3. Fig3. BPSK Waveforms. III. BPSK SYSTEM A. A. BPSK System in Simulink The BPSK modulator (Figure.4) is made of two sine carriers, the second one delayed with 180º and a switch which will choose between the first or third output depending on the value of the second input. If the second input is 1, the output value will be sine, but if the second input is 0, the output will be sine. B. BPSK Modulation Digital modulation is the process by which digital symbols are transmitted into waveforms that are compatible with the characteristics of the channel. The modulation process converts the signal in order to be compatible with available transmission facilities. At the receiver end, demodulation must be accomplished by recognizing the signals. Fig4. Binary Data Source and BPSK Modulator. Fig2. BPSK Modulator The modulation technique used in this paper is BPSK (Binary Phase Shift Keying) and it is widely used in digital transmission. BPSK modulation is the simplest form and most robust of all the PSK modulation techniques. It is able to modulate at only 1bps and it is not suitable for high data-rate applications. The BPSK modulator is quite simple and is illustrated in fig.2. The binary sequence m(t) or modulating signal is multiplied Figure5. The waveforms on the scope. Sine (b) Sine (c) Modulating Signal (d) Modulated signal.

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator The modulated signal is then pass through a channel where noise is added. The channel also has a limited frequency bandwidth so that it can be viewed as a filter. B. BPSK System in System Generator System Generator is a digital signal processing design tool from Xilinx. Designs are made in the Simulink environment using a Xilinx specific block set. All implementation steps, including synthesis, place and route are automatically performed to generate an FPGA programming file. Our BPSK system implemented in System Generator has the same block as in fig.4: data source, a modulator, a channel. The main difference is the System Generator block which makes possible the administration of the Xilinx components. The modulating signal is generated internal by a LFSR (Linear Feedback Shift Register). The carrier is generated internal by DDS blocks from System Generator. The DDS Compiler Block is a direct digital synthesizer and it uses a lookup table scheme to generate sinusoids. A digital integrator generates a phase that is mapped by the lookup table into the output waveform. The mux block implements a multiplexer. It has one select input and a configurable number of data inputs that can be defined by the user. The d0 and d1 inputs of mux represent the sine waves. The sel input of mux represents the modulating signal and selects between the d0 and d1 inputs. If LFSR is 1, the modulated signal. Remained same as the carrier, but if 0 was transmitted, the yielded carrier is transmitted. Figure7. A second implementation of the BPSK Modulator in System Generator. Figure6. BPSK Modulator in System Generator. Fig 8: 2 nd implementation bpsk waveforms. Fig 7: BPSK waveform. Figure9. A Third implementation of the BPSK Modulator in System Generator.

MISS ANGEL INDIRA, MS.P.GAYATHRI V.BPSK DEMODULATION Fig10. 3 nd Implementation BPSK waveforms. IV. BPSK SYSTEM ON THE SPARTAN 3E BOARD The modulated signal affected with noise arrives at the second board which behaves as a demodulator. The signal enters the demodulator with the help of a pmod AD1 which transforms the analog signal into a digital one. This digital signal is then multiply with the recovered carrier, generated internal in a ROM memory, practically integration was achieved. The result is kept in an accumulator and compared with a decision threshold and so, the demodulated signal is obtained. The principle of the BPSK demodulator implemented on the FPGA is illustrated in fig.13. The BPSK System Modulator is implemented on the Spartan 3E Starter Kit board is, exactly, the implementation in System Generator which is shown below. The carrier is generated internal, in a ROM. Fig13. The principle of the BPSK demodulator on the FPGA. Fig11. BPSK Modulator and Demodulator experimental setup. The modulating signal is generated internal, in the modulator, by a LFSR. The carrier is also generated internal, and is made of 16 different values kept in a ROM memory. The yielded carrier with 180º phase shift is obtained by reading the ROM memory later with 8 samples. If LFSR was 1, the modulated signal remained same as the carrier, but if 0 was transmitted, the modulated signal became the yielded carrier. The modulated signal is then sent to the DAC (Digital-to- Analog Converter) on the board in order to be sent through the channel. Fig14. BPSK Demodulator in System Generator. The principle of the BPSK modulator implemented on the FPGA is illustrated in fig.12. Fig12.The principle of the BPSK modulator on the FPGA. Fig15.

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator VI. RESULTS Fig.13 and illustrate the design summary of the modulator board. The design summary shows the various synthesizer options that were enabled and some device utilization and timing statistics for the synthesized design. Design Summary: Number of errors: 0 Number of warnings: 2 Device utilization summary 180º phase shift was obtained by reading the ROM memory later with 8 samples. Comparing the design summary obtained the logic utilization of the board was lower in terms of the slice flip-flops and LUTs used. All of these make the design suitable in terms of propagation, implementation and logic utilization of the Spartan 3E boards used in this work. VIII. REFERENCES [1] F.Ahamed, A.Scorpino, An educational digital communications project using FPGAs to implement a BPSK Detector, IEEE Transactions on Education, Vol.48, No.1, 2005, pp.191-197. [2] O.Azarmanesh, S.Bilen, Developing a rapid prototyping method using a Matlab/ Simulink/ FPGA development to enable importing legacy code, Proceedings of the SDR 08 Technical Conference and product Exposition, USA, 2008. [3] Y.H.Chye, M.F.Ain, N.M.Zawawi, Design of BPSK Transmitter Using FPGA with DAC, in Proceedings of the 2009 IEEE 9 th Malaysia Conference on Communications, Malaysia, 2009, pp.451-456. VII. CONCLUSION We proposed a implementation of the BPSK System (Modulator) in the Mat lab/simulink environment. Then, we made a proposal of a BPSK System in System Generator. Both, the modulating signal and the carrier are generated internal, the modulating signal by a LFSR and the carrier by a DDS Compiler. The modulated signal is obtained at the output of a mux block and, then, passed through a communication channel where noise is added. The obtained signal is then added with all the multiplied samples from the carrier in a period. The operation takes place in the accumulator. Once we have a result, it is compared with a decision threshold. The BPSK System implemented on the Spartan 3E Starter Kit board has the same principle as the implementation in System Generator. Although System Generator has an option to generate the VHDL code, for this design the code was made from the beginning because the generated code was hard to read. The only difference was that of the carrier which was indeed generated internal, in a ROM memory, but made of 16 different values. The yielded carrier with [4] P.Dondon, J.M.Micouleau, J.Legall,.K.Kadionik, Design of a low cost BPSK modulator/demodulator for a practical teaching of digital modulation techniques, in the 4th WSEAS/IASME International Conference on Engineering Education, Greece, 2007, pp.61-66. [5] P.Krivić, G.Štimac, FPGA Implementation of BPSK Modem for Telemetry Systems Operating in Noisy Environments, Proceedings of the 33rd International Convention on Information and Communication Technology, Electronics and Microelectronics, Croatia, 2010, pp.1727-1731.