Implementation of Digital Communication Laboratory on FPGA

Similar documents
Implementation of Digital Modulation using FPGA with System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

Performance Measurement of Digital Modulation Schemes Using FPGA

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

Optimized BPSK and QAM Techniques for OFDM Systems

Simulation and Verification of FPGA based Digital Modulators using MATLAB

BPSK System on Spartan 3E FPGA

DESIGN OF A VERIFICATION TECHNIQUE FOR QUADRATURE PHASE SHIFT KEYING USING MODEL SIM SIMULATOR FOR BROADCAST COMMUNICATION RELEVANCE S

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

FPGA Based, Low Cost Modulators of BPSK and BFSK, Design and Comparison of Bit Error Rate over AWGN Channel

Design and Implementation of BPSK Modulator and Demodulator using VHDL

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

System Generator Based Implementation of QAM and Its Variants

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator

FPGA Implementation of QAM and ASK Digital Modulation Techniques

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

Design of Digital Baseband Subsystem for S-Band Transponder

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

LABORATORIES-ECE. Microprocessor & Microcontroller Lab - Srinivasa Ramanujan Lab

International Journal of Advanced Research in Computer Science and Software Engineering

FPGA based generalized architecture for Modulation and Demodulation Techniques

EVALUATING PERFORMANCE OF DIFFERENT MODULATION SCHEMES ON MODIFIED COOPERATIVE AODV

Design and Implementation of SDR Transceiver Architecture on FPGA

Mobile Communication An overview Lesson 03 Introduction to Modulation Methods

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

LABORATORIES-ECE. Sir Srinivasa Ramanujan - Microprocessor & Microcontroller Laboratory

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

VLSI Implementation of Digital Down Converter (DDC)

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Design and Simulation of a Composite Digital Modulator

Design of Low power Reconfiguration based Modulation and Demodulation for OFDM Communication Systems

Implementation of Space Time Block Codes for Wimax Applications

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

Applications of SDR for Optimized Configurable Architecture of Modulation Techniques

Review on Design and Implementation of DSSS-CDMA Transmitter using HDL with Raised Cosine Filter to Minimize ISI

OFDM Transceiver using Verilog Proposal


Chapter 0 Outline. NCCU Wireless Comm. Lab

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

A PROTOTYPING OF SOFTWARE DEFINED RADIO USING QPSK MODULATION

Digital Modulation Schemes

Software-Defined Radio using Xilinx (SoRaX)

Anju 1, Amit Ahlawat 2

DESIGN OF QAM MODULATOR AND GENERATION OF QAM SEQUENCE FOR ISI FREE COMMUNICATION Chethan B 1, Ravisimha B N 2, Dr. M Z Kurian 3

FPGA Implementation of Desensitized Half Band Filters

Comparative Analysis of the BER Performance of WCDMA Using Different Spreading Code Generator

Performance analysis of OFDM with QPSK using AWGN and Rayleigh Fading Channel

Amplitude Frequency Phase

(PV) Rural Home Power Inverter Using FPGA Technology

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

Chapter 4. Part 2(a) Digital Modulation Techniques

Performance Analysis Of OFDM Using QPSK And 16 QAM

Thus there are three basic modulation techniques: 1) AMPLITUDE SHIFT KEYING 2) FREQUENCY SHIFT KEYING 3) PHASE SHIFT KEYING

Experimental Investigation of the Performance of the WCDMA Link Based on Monte Carlo Simulation Using Vector Signal Transceiver VST 5644

IJMIE Volume 2, Issue 4 ISSN:

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Performance Evaluation of Wireless Communication System Employing DWT-OFDM using Simulink Model

CARRIER LESS AMPLITUDE AND PHASE (CAP) ODULATION TECHNIQUE FOR OFDM SYSTEM

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

BER ANALYSIS OF WiMAX IN MULTIPATH FADING CHANNELS

Comparison of BER for Various Digital Modulation Schemes in OFDM System

Design and Implemetation of Degarbling Algorithm

ECE 4203: COMMUNICATIONS ENGINEERING LAB II

Design and Implementation of Software Defined Radio Using Xilinx System Generator

The Application of System Generator in Digital Quadrature Direct Up-Conversion

Mobile & Wireless Networking. Lecture 2: Wireless Transmission (2/2)

Development of Timer Core Based on 82C54 Using VHDL

IoT Enabled Communication Device with Mixer Less Low Complex QPSK Based Transmitter Architecture for Low Frequency Applications

Comparison of ML and SC for ICI reduction in OFDM system

Design and Implementation of Hybrid Parallel Prefix Adder

Design of FIR Filter on FPGAs using IP cores

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

PID Implementation on FPGA for Motion Control in DC Motor Using VHDL

BER ANALYSIS OF BPSK, QPSK & QAM BASED OFDM SYSTEM USING SIMULINK

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

EC 6501 DIGITAL COMMUNICATION UNIT - IV PART A

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

OptiSystem applications: Digital modulation analysis (PSK)

Performance Analysis of OFDM for Different Digital Modulation Schemes using Matlab Simulation

PERFORMANCE ANALYSIS OF MIMO-SPACE TIME BLOCK CODING WITH DIFFERENT MODULATION TECHNIQUES

Implementation of a Block Interleaver Structure for use in Wireless Channels

Analysis, Design and Testing of Frequency Hopping Spread Spectrum Transceiver Model Using MATLAB Simulink

FPGA Based 70MHz Digital Receiver for RADAR Applications

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

FPGA Implementation of a Digital Tachometer with Input Filtering

Swedish College of Engineering and Technology Rahim Yar Khan

Basic Concepts in Data Transmission

Lecture 3: Wireless Physical Layer: Modulation Techniques. Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday

FPGA Based Hardware Efficient Digital Decimation Filter for - ADC

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver

Transcription:

Implementation of Digital Communication Laboratory on FPGA MOLABANTI PRAVEEN KUMAR 1, T.S.R KRISHNA PRASAD 2, M.VIJAYA KUMAR 3 M.Tech Student, ECE Department, Gudlavalleru Engineering College, Gudlavalleru 1 Associate Professor 2, ECE Department, Gudlavalleru Engineering College, Gudlavalleru 2 Assistant Professor 3, ECE Department, Gudlavalleru Engineering College, Gudlavalleru 3 Abstract: This paper presents a method to describe all modulation techniques (like: BPSK, ASK, FSK, QPSK, QAM) on Field Programmable Gate Array (FPGA) development board which is widely available and inexpensive. To develop the system blocks Simulink environment and system generator version 13.1 are used under MATLAB version 7.11 (R2010B). To achieve simulation and synthesis of Spartan 3 FPGA tools from Xilinx ISE 13.1 are used. Very High Speed integrated circuit hardware description language (VHDL) is used for describing the hardware in system understanding language. Digital to Analog converter is used to interface both FPGA and CRO which is used to visualize the analog output of the digitally modulated signal. Keywords: Amplitude Shift Keying (ASK),Binary Phase Shift Keying (BPSK),Frequency Shift Keying (FSK), Quadrature Phase Shift Keying (QPSK), Digital Communication, Field Programmable Gate Array (FPGA), Receivers, Transmitters. I.INTRODUCTION The main objective of the paper is to implement all the modulation techniques that are being used in digital communication, all the modulation techniques are Contained in a single configuration file that is loaded into the Field Programmable Gate Array (FPGA), no FPGA reconfiguration is necessary in order to change the modulation, but rather than only a user command. In the existing system [1], the Binary shift keying (BPSK) modulation technique is only discussed. In the proposed system we can include all possible modulation techniques along with BPSK. The developed FPGA board can also be used in courses for digital design, computer architecture and embedded system, the flash memories on the board are loaded with data for use in channel emulation, and the proposed laboratory can be implemented on Xilinx families of FPGA, like Spartan 3, FPGA s. Compared with the software simulation tool such a LABVIEW, which is quite expensive, the hardware implementation of communication laboratory is very less expensive. II.IMPLEMENTATION A. Binary Phase Shift Keying (BPSK) Binary Phase Shift Keying (BPSK) [1], [4], [6], [7] demonstrates better performance than ASK and FSK. PSK can be expanded to M-array scheme, employing multiple s and Amplitudes as different states. Filtering can be employed to avoid spectral spreading. Fig. 1. BPSK modulation. If the received data is logic 1, then the modulated signal has no shift, if the received data is logic 0, then the modulated signal has 180 0 shift as shown in figure 1[1], [6]. 1. Simulink block diagram for BPSK using system generator Fig. 2. BPSK modulator in the system generator. get the accurate output as shown in figure 3 [1], [4]. Copyright to IJARCCE www.ijarcce.com 4318

2. Scope result for BPSK In general it is called M-array FSK. When M is 2 then it is called Binary-FSK with two carriers usually termed as the mark and space frequencies. The descriptive waveform example for Binary-FSK is given in the figure 7. Fig. 3. The wave forms on the scope. B. Amplitude Shift Keying(ASK) Amplitude Shift Keying ASK [2], [6] in the context of digital communications is a modulation process which imparts to a sinusoidal two or more discrete amplitude levels 1. These are related to the number of levels adopted by the digital message. For a binary message sequence there are two levels, one of which is typically zero. Thus the modulated waveform consists of bursts of a sinusoidal signal [2], [6].Figure 4 illustrates an ASK signal (lower), together with the binary sequence which initiated it (upper). Fig. 7. FSK modulation. In FSK, two carriers f1, f2 are used whose frequencies will differentiate between logic 1 and logic 0 as shown in figure 7. Single carrier can also be used for optimized system. 1. Simulink block diagram for FSK using system generator Fig. 4. An ASK modulation and the data. If the received data is logic 1, then the modulated signal appears to be as carrier itself else if the received data is logic 0, then no signal will be there in its corresponding time period as shown in figure 6. 1. Simulink block diagram for ASK using system generator Fig. 8. FSK modulator in the system generator get the accurate output as shown in figure 8 [13], [4]. 2. Scope result for FSK Fig. 5. ASK modulator in the system generator. get the accurate output as shown in figure 5 [2], [6]. 2. Scope result for ASK Fig. 6. The wave forms on the scope. C. Frequency Shift Keying (FSK) Frequency Shift Keying (FSK) [2], [5] carries the information signal by representing the transmitter alphabet with M symbols using carriers with M discrete frequencies. Fig. 9. The wave forms on the scope. D. QPSK (Quadrature Phase Shift Keying) Quadrature means the signal shifts among states that are separated by 90 degrees, The signal shifts in increments of 90 degrees from 45 to 135, -45 (315 ), or -135 (225 ) 1. QPSK Constellation Data transmitted Carrier Carrier amplitude 00 225 o 1.0 01 135 o 1.0 10 315 o 1.0 11 45 o 1.0 Table 1. QPSK s. Copyright to IJARCCE www.ijarcce.com 4319

135 o 45 o 225 315 o Fig. 10. QPSK constellation. 2. Simulink block diagram for QPSK using system Fig. 13. Control unit in the system generator. To get the accurate output as shown in figure 13, the parameters for each block must be configured precisely in the Simulink/system generator environment [3], [5]. 2.scope result for control unit generator Fig. 11. QPSK Modulator in the system generator. To get the accurate output as shown in figure 12, the parameters for each block must be configured precisely in the Simulink/system generator environment [2], [5]. 3. Scope result for Quadrature Phase Shift-Keying-(QPSK) III. Fig. 14. The wave forms on the scope. Design Flow Fig. 12. The wave forms on the scope. E. Control unit In control unit, for controlling given data, the multiplexer is Used, if the given data is shown in table 2[3]. S. N Action BPS K ASK FSK QPSK 1 Control bit 00 01 10 11 2 Informatio n 180,0 No change amp,zero amp Carries f 1,f 2 225,135, 315,45 3 Parameter Phase Amplitu de Frequen cy Quadratu re 4 Carrier one One two Two Table 2. Control Unit. 1.Simulink blockdiagram for control unit IV. SOFTWAREAND HARDWARE A. Software This project uses MATLAB/ Simulink environment particularly Simulink library to connect all the blocks to generate different modulations. Control unit in that simulation library selects different modulations according to data bits we have given. Copyright to IJARCCE www.ijarcce.com 4320

System generator tools [13] converts corresponding block diagram into VHDL code. The ISE system edition [9] from Xilinx is a front-end FPGA design solution that offers HDL synthesis and simulation, implementation and used for interfacing programs, user constraint files. B. Hardware The complete lab measurement setup used for realizing all kind of modulators is illustrated in figures 15, 16. Some of the resources use there: Spartan 3 starter kit board [8], [10], Digital to Analog converter (NIFCO7A) with PQ28 package, Function generator, Regulated power supply from Aligent and Cathode Ray Oscilloscope. 3. Simulated result for FSK 4. simulated result for QPSK C. Complete setup Fig. 15. Complete Setup. In the complete setup shows the inter connections between personal computer, Field programmable gate array, cathode ray oscilloscope and regulated power supply. Fig. 16. The setup with Spartan 3 starter kit. V. RESULT ANALYSIS 1. Simulated result for BPSK 2. Simulated result for ASK VI.CONCLUSION The work can be conclude that the implemented four types of modulators in the Simulink environment, like BPSK, QPSK, ASK, FSK using system generator on FPGA. The will be like to extend my current work by implementing all modulation techniques thus whole digital laboratory can be done on a single kit. REFERENCES [1] Thotamesetty m Prasad, syed jahingir, Simulation and implementation of a BPSK modulator on FPGA, ICECE- 16 th September 2012. [2] F.Xiong, Digital Modulation Techniques, Artech House, UK, 2010. [3] Swapan k samaddar, Atri sanyal, FPGA based generalized architecture for Modulation and Demodulation techniques, JCT journals, August 2012. [4] Popescu, S. O.; Gontean, A.-S.; Budura, G., "Simulation and implementation of a BPSK modulator on FPGA," Applied Computational Intelligence and Informatics (SACI), 2011 6th IEEE International Symposium on, vol., no., pp.459, 463, 19-21 May 2011. [5] Linn, Y., "An Ultra Low Cost Wireless Communications Laboratory for Education and Research," Education, IEEE Transactions on, vol.55, no.2, pp.169, 179, May 2012 [6] Lopez-Villegas, J.M.; Macias-Montero, J.G.; Osorio, J.A.; Cabanillas, J.; Vidal, N.; Samitier, J., "BPSK to ASK signal conversion using injectionlocked oscillators-part II: experiment," Microwave Theory and Techniques, IEEE Transactions on, vol.54, no.1, pp.226,234, Jan. 2006. [7] Kikkert, C.J.; Blackburn, C., "Demodulating binary shift keyed signals using programmable logic devices," Signal Processing and Its Applications, 1999. ISSPA '99. Proceedings of the Fifth International Symposium on, vol.2, no., pp.689, 692, vol.2, 1999 [8] Spartan 3 FPGA Starter Kit board. User guide. Xilinx. 2011. [9] ISE 13.1 Quick Start Tutorial, Xilinx, 2010. [10] Spartan-3 FPGA Family Data Sheet. Xilinx. 2010. [11] S.T.Karris, Introduction to Simulink with Engineering Applications Orchard Publications, USA, 2006. [12]http://www.ece.unm.edu/xup/docs/collaboration/BPSK_Mo-dulator.pdf [13] System Generator for DSP. Getting Started Guide. Xilinx. 2010. [14]Banerjee, P.; Haldar, M.;Nayak, D.; Anderson, R.; Uribe, J.R., "Overview of a compiler for synthesizing MATLAB programs onto FPGAs," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.12, no.3, pp.312,324, March 2004. Copyright to IJARCCE www.ijarcce.com 4321

BIOGRAPHIES M.Praveen Kumar has completed B.Tech (ECE) in 2011 and is pursuing M.Tech (ES) in Gudlavalleru Engineering College, AP, INDIA S.Rama Krishna Prasad.T is working as Associate Professor in Gudlavalleru Engineering College, AP. So far he has 11 years of teaching experience and published 2 IJ paper and 4 IC papers and 3 NC papers Vijaya Kumar Munagala is working as Assistant Professor in Gudlavalleru Engineering College, AP. So far he has 2 years of teaching experience and published 0 IJ paper and 1 IC papers and 1 NC papers Copyright to IJARCCE www.ijarcce.com 4322