Human Generations Driving Semiconductor Materials Demand. Lita Shon-Roy President / CEO Semicon Europa October

Similar documents
Legacy & Leading Edge Both are Winners

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

21 st Annual Needham Growth Conference

The Development of the Semiconductor CVD and ALD Requirement

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Chapter 15 Summary and Future Trends

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

IMPACT OF 450MM ON CMP

Innovation to Advance Moore s Law Requires Core Technology Revolution

Newer process technology (since 1999) includes :

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

David B. Miller Vice President & General Manager September 28, 2005

Enabling Breakthroughs In Technology

2010 IRI Annual Meeting R&D in Transition

IMI Labs Semiconductor Applications. June 20, 2016

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

1Q04 Update: Silicon Demand Will Move to a Full Recovery

A European Perspective for Electronic Industry in Latin America

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED

ISMI Industry Productivity Driver

Dynamic Semiconductor Years

Fokko Pentinga President & CEO

CLSA Investors Forum 2017

San Diego, CA, June 11 to 14, 2006

Intel Technology Journal

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Scaling of Semiconductor Integrated Circuits and EUV Lithography

CMP for More Than Moore

State-of-the-art device fabrication techniques

HOW TO CONTINUE COST SCALING. Hans Lebon

Recent Trends in Semiconductor IC Device Manufacturing

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Enabling Semiconductor Innovation and Growth

Update: SOI Wafer Market Continues Its Growth

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah

Day One 13 March Day Two 14 March 2019

W ith development risk fully borne by the equipment industry and a two-year delay in the main

GIGAPHOTON INTRODUCTION

The future of lithography and its impact on design

Display Materials and Components Report - Glass Slimming 2013

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Half-Year Press Conference

Fabricating 2.5D, 3D, 5.5D Devices

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Executive Summary World Robotics 2018 Industrial Robots

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Silicon Wafer Demand Forecast Update, 4Q03

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

GSEF 2019 Advisory Board

Lecture 0: Introduction

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Surgical Sutures Market By Product Type [Automated Suturing Devices (Disposable Automated Suturing Devices, And Re-Usable Automated Suturing

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

The Future of Packaging ~ Advanced System Integration

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Nikon Medium Term Management Plan

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

The SEMATECH Model: Potential Applications to PV

ATV 2011: Computer Engineering

FinFET vs. FD-SOI Key Advantages & Disadvantages

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Chapter 3 Basics Semiconductor Devices and Processing

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

SIDEBAR CONFERENCE AND DEVELOPMENT AUTHORITY BOARD MEMBER TRAINING INTERNATIONAL PROJECTS

ACCELERATING THE FUTURE OF SEMICONDUCTORS

Lecture #29. Moore s Law

MICROPROCESSOR TECHNOLOGY

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

CMP for Advanced Packaging

Silicon Carbide power devices: Status, challenges and future opportunities

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai

The Construction Market in Europe: A Supplier s Point of View

Accelerating Growth and Cost Reduction in the PV Industry

3Q03 Silicon Wafer Update: Demand Continues Recovery

EUV Supporting Moore s Law

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

420 Intro to VLSI Design

OUCH THE WORLD S FASTEST GROWING SEMICONDUCTOR MARKET

Limitations and Challenges to Meet Moore's Law

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

Will Stronger Borders Weaken Innovation?

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Real time plasma etch control by means of physical plasma parameters with HERCULES

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC

THE U.S. SEMICONDUCTOR INDUSTRY:

Transcription:

Human Generations Driving Semiconductor Materials Demand Lita Shon-Roy President / CEO Semicon Europa October 2016 www.techcet.com info@techcet.com

Outline Introduction World Economic Influencers Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 2

Disclaimer This presentation represents the interpretation and analysis of information generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods. 3

Analyze, Prepare, and Move Forward The World is Changing 4

TECHCET : A Technology Centered Semiconductor Materials Market & Supply Chain Advisory Firm CMCFabs include: Intel Micron GF TI Infineon Qorvo Cypress SEMATECH Etc. CMC Fabs is a membership based group made up of Semiconductor Device Fabricators For more info go to : www.cmcfabs.org TECHCET started in 2000 to support the CMC hosted by Sematech / ISMI and the materials supply chain. Supporting the CMC / SEMATECH for > 15 years 5

Outline Introduction World Economic Influencers China & US The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors Summary 6

A Connected World Indeed! WW News When China Sneezes. China Economy Softening due to moderating consumer demand as well as increasing debt. Current Gov t GDP : Debt = 43.9% and growing 5.6%/yr Housing Bubble Presidential Race: Donald Trump vs. Hillary Clinton Issues Raised during campaigning: China Trade, Iran nuclear deal, TransPacific Partnership ideas BREXIT The UK the 5 th largest economy of the world exiting the EU free trade zone Impact Lower sales demand from China can create a negative impact on manufacturing across the world. US / European companies depend on sales to China China manufacturing dependent on WW manufacturing Demand Major changes in foreign trade policy may create significant shifts in corporate profitability and consumer spending. Uncertainty; may likely lead to delayed investment and in turn impacting corporate and consumer spending. ANOTHER Major Influencer: A Changing Population 7

The Next Generation of Users of Electronic Stuff This year, the Millennial generation in the US is projected to surpass the Baby Boom generation as the nation s largest living generation, according to the population projections released by the U.S. Census Bureau last month. [1] US Millennials = 78 M people 8

Populations Drive Consumer Spending The majority of consumers over the next decade will be from Millennials (ages 18-34): 28% of the largest economies The second largest population will be the Babyboomers: 25% 1.600 1.400 1.200 1.000 800 B Populations Babyboomers and Millennials Represent the two largest generations These two generations will determine what will sell and what chips we will need to make 600 400 200 M The largest single market is potentially China, followed by the US. References [2], [3] & [4] All figures refer to 2015 - China Europe** US Japan S. Korea Taiwan Youngins 0-14 'Milliennials' 15-34* X generation 35-49 'Babyboomers' 50-69* 70+ ** excludes Russia and Eastern Europe 9

Which Country are these Millennials from? They re criticized for being materialistic and rebellious, with unprecedented access to consumer goods and exposure to global pop culture. They re also educated and tech-savvy (like the post- 90s and following generations) and have access to more information and social networks than ever before. Do you want a hint? Their most famous members include NBA player Yao Ming, young-adult novelist Guo Jingming an outspoken blogger Han In China, the two largest populations are the 20-24 age group and the 60-64 age group [8] Millennials (ages 15-34) here make up ~30 % of the population. 1.38B x 21% = 290 M people References: [5], [6] & [7] 10

The Next Generation of Users Millennials (people ages :14-24 by 2015) [1-3, 8,9] Will buy Instead of buying Cell phones TVs Tablets Less desktop Laptops computers Bicycles; mass transit user Cars Rent before buy, instead Tiny Home (or live at home with aging parents) Buying a house right away Online delivered to door Bulk purchases (TESCO? and Costco?) Electronic stuff to track their aging parents Service support 11

Impact on Electronics Markets? Continued need and dependency on more smart, interconnected portable, electronics stuff Internet Connectivity Demands Grow # wireless devices & operating frequencies RF growth Sensors, and programmable devices Big Data, Security and Software Applications Growing Continued Growth Portable Devices and Big Data driving growth in low power, compact devices, <65nm & leading edge and.materials 12

Outline Introduction World Economic Influencers The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 14

IC Technology Roadmap Evolutions/Revolutions Note Node is nm performance, physical is GLph Non-Volatile 1X & 1Z nm Shrink Planar NAND Non-Volatile 80-30nm features 3D NAND (BiCS, TCAT, etc.) Charge Trap Flash in Vertical Plane also called 3D or V-NAND Non-Volatile <10nm CNT? PCM 3D/V-NAND Extend 5+ yrs 16 to 256 layers RAM & Non Volatile? 18-15nm STT-MRAM DRAM 32-28nm Vertical Capacitors DRAM 26-16nm HκMG + Si Fin Continue DRAM Shrink w/ MPU Honey comb cell + Air-gap spacer 20nm Planar SOI Hκ/MG 14nm TriGate 14/16nm FinFET-STI 10nm Fin w/ STI, channel change? 7nm III-V or Ge? EUV 7nm? 450mm 7nm? www.techcet.com 2013 2014 2015 2016 2017 2018 2019 15

Silicon Wafer Report Wafer Starts Analysis 120 M 5 & 7nm Logic 7nm RAM 3D NAND G2 10-11nm Logic 10-11nm RAM 3D NAND G1 14nm Intel 14-16nm RAM 1x-z NV 22,16nm Logic 22-20nm RAM 2x-z NV 32,28,20nm Logic 32-28nm RAM 45nm Logic 65/45 nm RAM 65 nm Logic 90 nm Logic 130 nm Logic 180-150 nm L >180nm < 3% estimated growth for 2016 SunEdison to be acquired by Taiwanese firm GlobalWafers for $683M USD. 17% share (3 rd largest behind S E H and SUMCO) Increase in market demand is causing availability problems for polished 300mm wafers. Supply Chain issues looming. Discrete fabs trend toward 200mm causing Epi-wafer supply issues. Silicon unit shipments have shown an upward trend post-us recession (housing collapse / WW credit crisis) but prices continue to decline, but change in the wind. 2015 2016 2017 2018 2019 2020 16

Photoresists / Ancillaries / Extension Materials 17

Photoresist/Ancillaries Report Photoresist /Ancil. /Ext. Update 2016 Photoresist Revenues ~ $1.5B Highest growth in Extension (EXT) materials (ARCs + Shrink/Trim) for ArFi ~ US $676M for 2016 growing to US$790M by 2020 Si-Hard Mask (Si-HM) in Tri-Layer Resist (TLR) use minimizes PR thickness required, so PR volume steady despite increase in waferstarts and increase in litho steps per wafer EUVL for mix-and-match applications pushed to 5-10nm nodes DSA, EBDW, and NIL all for niche applications 18

CMP Consumables 19

CMP Consumables Report Slurry: $1.36 B in 2016 up ~ 8% from 2015 Pads: $730 M up ~ 6% from 2015. Newer CMP processes 3D transistor: Al and W for High k Gate Electrodes 3D Packaging: High RR Cu slurry for TSV 3D NAND: Optimize for Defect Reduction for STI, PolySi, W Continued Incr. in customized CMP processes (combination of slurry, pad hardness & porosity) Continued merger activity: NexPlanar + Cabot Legacy Devices CIP and IoT CMP Trends Slurry Volume Forecast 2014 2015 2016 2017 S-STI Al MGE Ox HKG Oxide Tungsten Cu Step 1 Cu Barrier 20

Electronic Gases 21

Neon Report Electronic Gases + NEON Total Gas Market 2016: $3.9B est. Revenue Forecast to grow to $4.6B by 2020 NEON Ukraine sources up and running (70%) Chinas Sources increased production but steel market concerns Gigaphoton and Cymer continue work on reducing neon usage for DUV lasers. Ne: 0.034 percent of air Ne purification Air separation Plant --> N2, O2, Ar, Ne O2 Expansions announced: Linde : +40MM liters annually in TX 16 Wisco in China: 230,000 m 3 /yr 17 Ramp up of LPTS TFT Displays and 3D devices may threaten S-D Balance. Steel Mfgr 22

ALD & CVD Precursors including Hi K 23

Number of ALD Passes ALD / CVD Metal Precursors Report Scaling trend ALD Migration I - Migration to ALD due to shrink - LPCVD SiN and SiO2 - PECVD SiN and SiO2 - CVD Metals ALD - CVD Metal nitrides - PVD Metals II - New Materials & Unit Processes: - MIM Caps & High-k / Metal Gate - Cu barriers - Multipatterning III - 3D Devices: DRAM, FinFET, 3DNAND More ALD 45nm 14nm 7nm x2 2007 2009 2011 2013 2016 2018 Leading Logic Fully loaded 7%-8% CAGR 2015-2020 Metal Precursors Market: $385M in 2016 growing to $420M by 2020 Dielectric Precursors Market: $300M by 2020 15 ALD passes at 14nm and more than 30 at 7 nm according to estimations 24

Outline Introduction World Economic Influencers The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 25

MegaTrends: M & A Active Yet Barriers Increasing Linde and Praxair possible merger TERMINATED (Sept. 12, 2016) but not dead (still open for further discussions) Dow Chemical/ Dow Corning / DuPont Merger US Senate Committee and European Commission doing in-depth investigations into merger regards* May 23, 2016, Air Liquide completed the acquisition of Airgas Airgas remains a wholly owned subsidiary but will be led by Air Liquide management Air Products Spin off of Versum now completed Performance Materials portion sold to Evonik New headquarters located in Tempe, AZ Solvay acquires Cytec Dupont spins off Chemours OCI Materials gets acquired by SK now called SK Materials Avantor combined with Nusil two of Mountain Capital s businesses investments 26

Wet Chemicals Report EHS and Materials Trends Environmental Responsibility is not embraced equally by all companies in all countries Costs associated with environmental regulation compliance Those suppliers that do not comply may have a pricing advantage. ROHS & REACH style regulations are now being adopted by Asian countries Environmentally responsible Fabs require their suppliers adhere to these regulations 27

Summary - Take Aways The changing populations are impacting what people buy and buying habits; influencing consumer spending, in turn, semiconductor market growth China s influence on population and spending is a key driver Materials Supply Segments will continue growing 4% CAGR thru 2020 High growth areas in ALD/CVD and CMP Consumables 6% to 10% / yr M&A activity Alive & Complex Watch out for Moves from Asia (Korea and China) EHS Issues Will Continue to be Increasingly Important TECHCET s Critical Materials information is here to help you analyze your market environment, understand the risks & opportunities and help you strategically move forward 28

TECHCET s Critical Materials Reports TECHCET Critical Materials Report Advisories Issue Date 1 2016 CVD / ALD Metal Precursors Apr 10 2 2016 Electronic Gases May 30 3 2016 Wet Process Chemicals June 30 4 2016 Silicon Wafers June 30 5 2016 Photoresists and Ancillaries June 30 6 2016 CVD / ALD Dielectric Precursors Aug 10 7 2016 CMP Slurries and Pads Consumables Aug 31 8 2016 Sputtering Targets Sept 10 9 2015-16 Quartz -Equipt Components April 15 10 2015-16 Ceramics & SiC - Equipt Components July 15 11 2016-17 Semiconductor Device Technology Trends and Materials Requirements 4Q2016 Coming soon! Mar 16 +Updated 12. 2016 Neon Supply & Demand Quarterly 29

Techcet Group Analysts (& Experience) Lita Shon-Roy President / CEO Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/ Brooktree, Hughes Aircraft Karey Holland, Ph.D. Chief Technical Officer MegaFluid Systems, FEI, NexPlanar, IPEC, Motorola, IBM Mike Walden Director, Business Development / Sr. Analyst SunEdison, SUMCO, IBM Ed Korczynski Director, Marketing / Sr. Analyst Solid State Technology, Intermolecular, Nanomarkets, Applied Materials Jerry Yang, Ph.D. Director, Asia Business Development Sematech, Rohm & Haas, Rodel, LAM, IPEC Yu Bibby, Ph. D. Sr. Technology Analyst UV Global, ipcapital Group, Wilkes University Bruce Adams Sr. Market Analyst Matheson Gas, Air Products, & Chemicals, Honeywell Jonas, Sundqvist, Ph.D. Sr. Technology Analyst Fraunhofer, Quimonda Terry Francis Sr. Technology Analyst Matheson Gases, Applied Materials, Air Products Brooks Hurd Sr. Technology Analyst Quantum Clean, Matheson Gas, SAES Getters, Air Products Sue Davis Sr. Market Analysts Sematech, Rohm & Haas, Rodel, Texas Instruments Chris Michaluk Sr. Market Analyst Dillinger-May, H.C. Stark, Climax Molybdenum, Williams, Cabot Chris Blatt Sr. Market Analyst Zeon Chemicals, Fujifilm, Arch, IPEC/Athens, Air Products Mike Fury, Ph.D. Sr. Technology Analyst Vantage, EKC/DuPont, Rodel, IBM Tim Dyer Sr. Technology Analyst Elcon, Matsci, Morgan Ceramics, IPEC/Speedfam 30

Thank you! www.techcet.com ww.cmcfabs.org Lshonroy@Techcet.com +1-480-382-8336 www.techcet.com 31

References [1] http://techcrunch.com/2013/03/11/zero-tv-households-now-at-5-million-says-nielsen-up-from-3-million-in-2007-butstill-just-5-of-market/ [2] www.pewresearch.org/fact-tank/2015/02/09/who-are-europes-millennials/ [3] www.census.gov [4] www.tradingeconomics.com [5] http://www.chinafile.com/conversation/chinas-post-1980s-generation-are-kids-all-right [6] http://www.pewresearch.org/fact-tank/2015/11/12/in-china-1980-marked-a-generational-turning-point/ [7] http://www.newyorker.com/magazine/2011/07/04/the-han-dynasty [8] http://finance.yahoo.com/blogs/the-exchange/real-reason-millennials-don-t-buy-cars-homes-153340750.html [9] http://www.theatlantic.com/magazine/archive/2012/09/the-cheapest-generation/309060/ [10] http://www.economist.com/news/briefing/21601248-generation-old-people-about-change-global-economy-theywill-not-all-do-so [11] http://www.hsph.harvard.edu/program-on-the-global-demography-ofaging/workingpapers/2010/pgda_wp_53.pdf [12] http://www.bloomberg.com/news/articles/2013-09-17/aging-boomers-befuddle-marketers-eying-15-trillion-prize info@techcet.com 2016 Techcet CA LLC 32

Materials Market Trends Total Market ~$3.5B in 2015 CAGR 4%, 2015-2020. Increase in number and volume of materials for each technology node. Increasing Concerns regarding Waste Management / Handling of Materials Source: SEMI, SST, semimd 33

World Bank Data for 2015 Nominal GDP as a % of Total 2015 Other 31% United States 25% China 15% Korea 2% Canada 2% Brazil 2% Italy 2% India 3% France 3% United Kingdom 4% Germany 5% Japan 6% 34