SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

Similar documents
FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

DESIGN OF A VERIFICATION TECHNIQUE FOR QUADRATURE PHASE SHIFT KEYING USING MODEL SIM SIMULATOR FOR BROADCAST COMMUNICATION RELEVANCE S

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator

FPGA Implementation of QAM and ASK Digital Modulation Techniques

BPSK System on Spartan 3E FPGA

Design and Implementation of BPSK Modulator and Demodulator using VHDL

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx

Implementation of Digital Communication Laboratory on FPGA

Optimized BPSK and QAM Techniques for OFDM Systems

VLSI Implementation of Digital Down Converter (DDC)

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

Implementation of Digital Modulation using FPGA with System Generator

Design and Simulation of a Composite Digital Modulator

Anju 1, Amit Ahlawat 2

Digital Systems Design

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DESIGN AND IMPLEMENTATION OF QPSK MODULATOR USING DIGITAL SUBCARRIER

Applications of SDR for Optimized Configurable Architecture of Modulation Techniques

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

Open Access Implementation of PSK Digital Demodulator with Variable Rate Based on FPGA

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

International Journal of Advanced Research in Computer Science and Software Engineering

Lecture 12. Carrier Phase Synchronization. EE4900/EE6720 Digital Communications

System Generator Based Implementation of QAM and Its Variants

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Simulation and Verification of FPGA based Digital Modulators using MATLAB

Design of Low power Reconfiguration based Modulation and Demodulation for OFDM Communication Systems

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Design and Implementation of Software Defined Radio Using Xilinx System Generator

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Mobile & Wireless Networking. Lecture 2: Wireless Transmission (2/2)

The Application of System Generator in Digital Quadrature Direct Up-Conversion

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

High speed FPGA based scalable parallel demodulator design

Software Design of Digital Receiver using FPGA

Performance Measurement of Digital Modulation Schemes Using FPGA

An Optimized Design for Parallel MAC based on Radix-4 MBA

Chapter 4. Part 2(a) Digital Modulation Techniques

Design of Digital Baseband Subsystem for S-Band Transponder

A Simulation of Wideband CDMA System on Digital Up/Down Converters

Amplitude Frequency Phase

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

comparasion to BPSK, to distinguish those symbols, therefore, the error performance is degraded. Fig 2 QPSK signal constellation

ECE5713 : Advanced Digital Communications

BER Performance Comparison between QPSK and 4-QA Modulation Schemes

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

CARRIER LESS AMPLITUDE AND PHASE (CAP) ODULATION TECHNIQUE FOR OFDM SYSTEM

Thus there are three basic modulation techniques: 1) AMPLITUDE SHIFT KEYING 2) FREQUENCY SHIFT KEYING 3) PHASE SHIFT KEYING

EE3723 : Digital Communications

FPGA Implementation of a Digital Tachometer with Input Filtering

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India

FPGA based generalized architecture for Modulation and Demodulation Techniques

RF Basics 15/11/2013

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

Digital Communication


VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

A PROTOTYPING OF SOFTWARE DEFINED RADIO USING QPSK MODULATION

Software-Defined Radio using Xilinx (SoRaX)

Performance analysis of OFDM with QPSK using AWGN and Rayleigh Fading Channel

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

SIGNAL PROCESSING WIRELESS COMMUNICATION RF TEST AND MEASUREMENT AUTOMOTIVE DEFENSE AND AEROSPACE

ADVANCE DIGITAL COMMUNICATION LAB

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer

Mobile Communication An overview Lesson 03 Introduction to Modulation Methods

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont.

REAL TIME IMPLEMENTATION OF FPGA BASED PULSE CODE MODULATION MULTIPLEXING

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

BINARY AMPLITUDE SHIFT KEYING

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design and Implementation of SDR Transceiver Architecture on FPGA

Design and Implementation of Programmable Sine Wave Generator for Wireless Applications using PSK/FSK Modulation Technique

Design And Implementation of FM0/Manchester coding for DSRC. Applications

QPSK Modulation and Demodulation

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

Chapter 2 Overview - 1 -

PGT313 Digital Communication Technology. Lab 3. Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK)

EVALUATING PERFORMANCE OF DIFFERENT MODULATION SCHEMES ON MODIFIED COOPERATIVE AODV

Digital modulation techniques

VLSI Implementation of Image Processing Algorithms on FPGA

OPTIMIZED MODEM DESIGN FOR SDR APPLICATIONS

Serial and Parallel Processing Architecture for Signal Synchronization

SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation

1 Analog and Digital Communication Lab

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Lecture 1. Tinoosh Mohsenin

OptiSystem applications: Digital modulation analysis (PSK)

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI

Spectral Monitoring/ SigInt

FPGA Based, Low Cost Modulators of BPSK and BFSK, Design and Comparison of Bit Error Rate over AWGN Channel

Transcription:

www.ardigitech.inissn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.) tusharkafare31@gmail.com*1 Abstract: In this paper we present a theoretical background of the digital communication systems and the QPSK modulation. The main purpose is to design the QPSK system on FPGA. The simulation of a QPSK Modulator is done using Xilinx ISE9.2 design as well as the implementation of the modulator on a Spartan 3E starter kit the modulator algorithm has been implemented using the VHDL language on Xilinx ISE 9.2.The modulated signal obtained from simulations was compared with the signal obtained after implementation. The modulator was simulated and performance was evaluated by measurements. Keywords: Modulation, Simulation, FPGA, QPSK. 1. INTRODUCTION Digital modulation is the process by which digital symbols are transmitted into waveforms that are compatible with the characteristics of the channel. The modulation process converts the signal in order to be compatible with available transmission facilities. At the receiver end, demodulation must be accomplished by recognizing the signals.the DQPSK (Differential Quadrature Phase Shift Keying) is one of the basic binary modulation technique.it has as a result only two phases of the carrier, at the same frequency,but separated by 90º. The QPSK uses four points on the constellation diagram, equi spaced around circle.with four phases, QPSK can encode two bits per symbol, given with gray coding to minimize the bit error rate (BER).Sometimes misperceived as twice the BPSK. Fig 1.1 QPSK generations The mathematical analysis shows that QPSK can be used either to double the data rate compared with BPSK system while maintaining the same bandwidth of the signal. To maintain the data rate of BPSK but having the bandwidth needed in this latter case the BER of BPSK is same as that of BER of QPSK. The implementation of QPSK is more general than that of BPSK and also indicates the implementation of higher-order PSK. Writing the symbols in the constellation diagram in terms of the sine and cosine waves used to transmit them. The implementation of QPSK involves changing the phase of the transmitted waveform. QPSK is one of The most popular digital modulation techniques used for Satellite communication and sending data over cable networks. Its popularity comes from both its easy implementation and 1

www.ardigitech.in,issn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 resilience to noise. Each finite phase change representing unique digital data. A phase modulated waveform can be generated by using the digital data to change the phase of a signal while its frequency and amplitude stay constant. A QPSK modulated carrier undergoes four distinct changes in phase that are represented as symbols and can take on the values of π/4, 3π/4, 5π/4, and 7π/4. Each symbol represents two binary bits of data. The paper is organized into 5 sections.introduction which represents section 1 describes basics of the QPSK Modulation. In section 2, I offer information about the software tools used. In section 3, different simulation techniques of QPSK Modulator in Xilinx are presented section 4 is dedicated to the result. The final section 5. Presents conclusion and future work. 2. SOFTWARE TOOLS USED As the demand for system-on-chip (SoC) implementations increases, the need to accurately model mixed signal designs becomes more important. Digital designs have been highly automated, and the prevalence of top down design is very strong in this area. In contrast, traditional analog RF designs are normally bottom-up, starting at the transistor level. Mixed-signal designers must then take a combination of hierarchical design approaches, and effort is being made to automate this design flow in a similar manner as seen for current digital systems. The overall goal is to provide designers tools to allow the combination of digital and RF models at the net list level, creating a physical SoC model from which masks can be made for quick prototyping and fabrication. The ability to model and co-simulate digital and RF components together was made possible by the creation of hardware description languages (HDLs) such as VHDL-AMS and Verilog-A. That requires the development of high-level behavioral models for mixedsignal systems blocks. Later, the abstraction levels of these models can be reduced to more accurately model physical circuit implementations. Many of the recently documented system-level behavioral models in VHDL- AMS, have been basic functionality tests that use highly ideal behavioral descriptions digital QPSK modulators work with phase shift carrier angle, as a key of modulation. The phase signal is most important part in the modulator to acquire two discrete signals (Sine and Cosine) However, the NRZ format is essential for mapping I and Q. The simulation is perform by using VHDL language on Xilinx ise simulator the real and imaginary component of QPSK is generated by using the logic in following diagram. The QPSK system can be implement in system generator tool by Xilinx this environment provide built in block for perform the channel separation and carrier multiplication.the following diagram indicates the construction of QPSK modulator in System generator tool of Xilinx. Fig.2.1: QPSK generation by system generator tool. The QPSK modulation process requires a Direct Digital Synthesizer (DDS) to produce sinusoidal waveform and mixers to produce a symbol according to the input data. 2

www.ardigitech.in,issn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 3. QPSK IN XILINX ISE SIMULATOR Here, I have written VHDL code for the QPSK generation using Xilinx Simulator. The real channel generated by passing all incoming even part to it. And imaginary part is generated by passing all odd part to the channel.i am going to implement QPSK generation on the Spartan 3 kit by Xilinx the adder can be implement in the hardware of FPGA so that it can take less power to operate. Here sin and cos signals are generated by dividing the clock and provided the phase difference between both. Fig 4.1 specifies the RTL schematic of the design which gives the port map i.e. inputs the system and outputs from the system. Fig 4.1 RTL schematic in simulator Fig 4.2 gives the detailed description of the interconnection between input and output. Fig.2.3: Generation of I &Q channel The high frequency clock is reserved for Spartan 3 kit implementation and the divided clock is used to generate the I & Q signals. If we divide the clock in higher amount then the incoming signals can be separated fastly. Enable input is provided to start the separation of input bit and clock edge decides the speed. the next chapter describes the result of simulation of QPSK generation in Xilinx. 4. SIMULATION RESULT Fig 4.2 Interconnection Diagram The following figure indicates the possible combination of inputs that can be given the the simulation of QPSK modulation. The clock signal must have high frequency so that the information 3

www.ardigitech.in,issn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 send is maximum. The actual conversion start when enable signal is provided. Fig 4.3 Test input According the given input combination we can obtain the simulation result that is specified in next diagram all the signals i.e. divided clock, counter, input data stream sin and cos also I and Q channels. Fig 4.5 I&Q channel Generation by simulation The device utilization is provided in the last diagram i.e. no of flip flops, IOBs,LUTs and GLCKs.The device utilization gives the part of Spartan 3 kit and FPGA so that we can calculate the total power required. Device utilization summary gives the information related to the QPSK can be implementated in fast mode to enhance data rates also it will gives idea about system integrity. If the used blocks of the fpga are less in number then power saving is done. Fig 4.4 device utilization summary Field-programmable gate arrays are re programmable hardware chips for digital logic design. FPGAs are an array of logic gates that can be configured to construct arbitrary digital circuits. These circuits are specified using either circuit schematics or hardware description languages such as Verilog or VHDL. A logic design on an FPGA is also referred to as a soft intellectual property core (IPcore). Existing commercial libraries provide a wide range of predesigned cores, including those of complete CPUs. Such a More than one soft IP-core can be placed onto an FPGA chip. 5. CONCLUSION AND FUTURE WORK The purpose of this work is to develop a system to modulate the data for communication so that we can provide the security to the data as well as to enhance the data rate of the communication. The Main aim of project is to implement this communication system on the tool Spartan 3 provided by Xilinx so that we can minimize the power require. Also we can speed up the communication because FPGA implementation provides the high speed operation. 4

www.ardigitech.in,issn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 REFERENCES [2] E.Normark, Lei.Yang, C.Wakayama, P.Nikitin, R, Shi VHDL AMS Behavioral Modeling and simulation of a pi/4dqpsk transceiver system [3] T.J.Kazmierski, F.A.Hamid Architectural and parametric optimization of low-pass RF anlog Filter in VHDL AMS based high level synthsis BMAS2004, San Jose,20-22 oct2004-2. [3] G.C.Cardarilli, R. A.Del Re.RE, L.Simone Nicol, Otimized QPSK Modulaator for DVB-S Applications, ISCAS 2006 IEEE. [4]Douglas.L.Perry VHDL Programming by Example Mc.Grawh. USA: Academic 2002, pp. 842-8681 [5] www.altera.com/literature/univ/upds.pdf.(up2edicational board datasheet). [6] Roger.Lipsett,Carl.Schaefer, Cary.Ussery VHDL Hardware Description and design pp842-868 [7] H.Bochnick,W.Anheier FIR filter design using Verilog and VHDL.Italy April.16-26.1993 [8]http://ieeexplore.ieee.org/iel5/7180/19335/00893288.pdf ( IEEE standred VHDL Language Reference Manual [9]F.Ahamed, A.Scorpino, An educational digital communications project using FPGAs to implement a BPSK Detector, IEEE Transactions on Education, Vol.48, No.1, 2005, pp.191-197. [10] System Generator for DSP. Getting Started Guide. Xilinx. 2008. [11] S.O.Popescu, G.Budura, A.S.Gontean, Review of PSK and QAM Digital Modulation Techniques on FPGA, International Joint Conference on Computational Cybernatics and Technical Informatics (ICCC-CONTI), Romania, 2010, pp.327-332. 5