Methods for Manufacturing Improvement IEOR 130. Prof. Robert C. Leachman University of California at Berkeley. August, 2017

Similar documents
420 Intro to VLSI Design

Lecture 0: Introduction

VLSI Design. Introduction

VLSI Design. Introduction

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

+1 (479)

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Chapter 1, Introduction

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Topic 3. CMOS Fabrication Process

Chapter 3 Basics Semiconductor Devices and Processing

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EMT 251 Introduction to IC Design

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

From Sand to Silicon Making of a Chip Illustrations May 2009

Mathematics and Science in Schools in Sub-Saharan Africa

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

ELCN100 Electronic Lab. Instruments and Measurements Spring Lecture 01: Introduction

Newer process technology (since 1999) includes :

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Basic Fabrication Steps

Semiconductor Process Diagnosis and Prognosis for DSfM

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Photolithography I ( Part 1 )

State-of-the-art device fabrication techniques

Major Fabrication Steps in MOS Process Flow

Spiral 1 / Unit 8. Transistor Implementations CMOS Logic Gates

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

APPLICATION TRAINING GUIDE

Introduction to Electronic Devices

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

INTRODUCTION A. VACUUM TUBES

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

Semiconductor Devices

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

EE301 Electronics I , Fall

Introduction to Materials Engineering: Materials Driving the Electronics Revolution Robert Hull, MSE

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

EE141-Fall 2009 Digital Integrated Circuits

EE669: VLSI TECHNOLOGY

Semiconductor Physics and Devices

Nanomanufacturing and Fabrication

Introduction to VLSI ASIC Design and Technology

Resonant Tunneling Device. Kalpesh Raval

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

EE 410: Integrated Circuit Fabrication Laboratory

LSI ON GLASS SUBSTRATES

MICROPROCESSOR TECHNOLOGY

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Fault Diagnosis Algorithms Part 2

Chapter 15 Summary and Future Trends

EE 143 Microfabrication Technology Fall 2014

Lecture #29. Moore s Law

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

EC0306 INTRODUCTION TO VLSI DESIGN

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

INTEGRATED CIRCUIT ENGINEERING

ATV 2011: Computer Engineering

Notes. (Subject Code: 7EC5)

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Session 3: Solid State Devices. Silicon on Insulator

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016

ECEN474: (Analog) VLSI Circuit Design Fall 2011

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

Nanomanufacturing and Fabrication By Matthew Margolis

Outcomes. Spiral 1 / Unit 8. DeMorgan s Theorem DEMORGAN'S THEOREM. Transistor Implementations CMOS Logic Gates

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

Chapter 2 Silicon Planar Processing and Photolithography

Student Lecture by: Giangiacomo Groppi Joel Cassell Pierre Berthelot September 28 th 2004

isagers. Three aicron gate spacing was

VLSI DESIGN AUTOMATION COURSE NOTES THE PRINCIPLES OF VLSI DESIGN

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

IEOR 130 Methods of Manufacturing Improvement Solutions to HW #5 Fall 2018, Prof. Leachman

2 Integrated Circuit Manufacturing:

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Digital Design: An Embedded Systems Approach Using VHDL

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

The Design and Realization of Basic nmos Digital Devices

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

A Brief Introduction to Single Electron Transistors. December 18, 2011

Nanofluidic Diodes based on Nanotube Heterojunctions

Semiconductor Technology

Transcription:

Methods for Manufacturing Improvement IEOR 130 Prof. Robert C. Leachman University of California at Berkeley August, 2017

IEOR 130 Purpose of course: instill cross-disciplinary, industrial engineering perspective and skills in future engineers, managers or researchers for technologyintensive manufacturing Course prerequisites: calculus, linear algebra, statistics and probability. Physics recommended. Course assignments: ~ 9 homework exercises, midterm exam, final exam Course grade: Max { F, 0.67F + 0.33M}

Instructors and readings Prof. Rob Leachman, 4127 Etcheverry Hall Office hours TuTh 8-915 (class days only) or by appt, leachman@berkeley.edu GSI Dean Grosbard Office hours TBA, dean.grosbard@berkeley.edu 8/17 Course web site: http://ieor.berkeley.edu/~ieor130 Course outline, presentation slides, required readings, HW and HW solutions are all posted on the course web site

Topics What matters in high-tech manufacturing: 8/17 Process control We need a stable manufacturing process. We need to consistently make good product. Yield analysis We need to identify root causes of quality losses and eliminate them. Equipment efficiency We need to achieve good return on very expensive equipment assets. We need to understand capacity and plan investments wisely. On-time delivery We need to promise delivery dates we can achieve, and we need to achieve them. Speed (AKA cycle time) For competitive reasons and economic reasons, we need manufacturing to be fast.

Technical Topics and Relationship to Other IEOR Courses Statistical Process Control (IEOR 165), Process Controllability and Six Sigma Analysis Statistical Yield Analysis Maintenance Scheduling Under Uncertainty (renewal models, IEOR 172) Equipment Efficiency Measurement Production Planning (IEOR 150 & 162) and Delivery Quotation Factory Floor Scheduling (IEOR 150) and Management of Work-in-Process Economics of Speed (Continuous-time discounting of cash flows, E120) Cycle Time Analysis (Queuing analysis, IEOR 161 & 151) Capacity Planning

Course Outline Introduction to Semiconductor technology and manufacturing (today and 8/29) Statistical process control (8/31, 9/5, 9/7) Yield analysis (9/12, 9/19) Equip maintenance & efficiency analysis (9/21, 9/26) Production Planning, On-time delivery (next) Cycle time analysis (after midterm) Line Scheduling (after midterm) Benchmarking best manufacturing performance and practices (course wrap-up)

Introduction to Semiconductor Technology Semi-conducting materials (Germanium, Silicon, Gallium Arsenide) - insulator if pure, conductor if doped with particular impurities Crystalline structure allows relatively large gains in current and generates current from applied voltage Transistors were made out of Germanium beginning in the 1950s

Semiconductor Technology (cont.) Integrated circuits were made out of Silicon beginning in the 1960s, a much more suitable material (wider semiconductor band gap, higher melting point) 1958-59 - Jack Kilby of Texas Instruments proposed complete circuits (resistors, capacitors, diodes, transistors) made out of silicon; prototype was fabricated out of Germanium, with connecting wires attached to mesas

Semiconductor Technology (cont.) 1959-60 - Robert Noyce and others at Fairchild invent the planar fabrication process: Photolithography isolate patterned areas of silicon wafer Diffusion using a furnace, dopants are diffused into the silicon to define the circuit elements Apply film of SiO 2 (an insulator as well as a protective coating) also done with diffusion furnaces Etch holes or vias through the SiO 2 to expose the circuit elements Evaporate aluminum into the vias to connect up circuit elements

Microelectronics: Scale of Integration Scale Number of Logic First Use Elements Per Chip Small (SSI) 1-10 1960 Medium (MSI) 1-100 1965 Large (LSI) 100-10,000 1970 Very Large (VLSI) 10K - 1M 1975 Ultra Large (ULSI) > 1M 1985 > 100M 1998 > 1B 2005 > 1G 2010

Process Technologies 8/17 BiPolar - Areas of silicon are doped to be either poor or rich in electrons. Both polarities are used ( p-type and n-type ) to define individual circuit elements. MOS (Metal Oxide Semiconductor) - Doping to define each circuit element is entirely of one polarity, simplifying the manufacturing process. NMOS is faster than PMOS, because electrons are more mobile than holes. CMOS (Complementary MOS) - Both p-type and n- type transistors are fabricated; the same signal that turns on one turns off the other, thereby affording substantial power savings and more dense circuitry.

Device Technologies 8/17 Technology Primary Period of Applications Use Silicon BiPolar Computers, consumer products 1960- PMOS Early microprocessors 1965-75 NMOS Memory, microprocessors 1970-90 CMOS Battery-powered equipment 1970- CMOS (high-speed) Most new designs 1980- Gallium Arsenide Microwave radio, radar 1975- (GaAs) Optoelectronics 1980- Power controllers 1990-

Product Categories Standard products - many customers commodity memory microprocessors and microcontrollers Programmable devices - many customers user programs the device Application-specific integrated circuit ( ASIC ) - one customer, one supplier Gate Array (metalize customer-selected options on generic semi-finished wafer) Standard Cell (custom product right from blank wafer start) Full custom

Types of Companies Integrated Device Manufacturer (product design, process technology development, manufacturing, marketing) e.g., Intel, Samsung, Micron Fabless (product design and marketing only) e.g., Qualcomm, Nvidia, SanDisk, Apple Foundry (development of process technology and contract manufacturing only) e.g., TSMC, UMC, GlobalFoundries

Semiconductor Milestones 1948 - transistor invented at Bell Labs 1954 - silicon transistor perfected at Texas Instruments 1959 - Bi-Polar fab process for integrated circuits perfected at Fairchild Semiconductor 1963 - Fairchild captures TV electronics market 1967-70 - mass exodus from Fairchild to start-up companies; Silicon Valley is born

Milestones (cont.) 8/17 1967 to 1971 - MOS process perfected by Gordon Moore and Andrew Grove, Intel develops DRAM, Intel develops EPROM, Intel develops MPU Early 1980s - Japanese companies perfect CMOS, capture most of memory market over the decade Late 1980s - Americans catch up in CMOS, dominate logic markets Early 1990s - Koreans take the lead in memory Late 1990s - Taiwan firms dominate foundry business

Global Semiconductor Sales 1985: $27.8 billion (48.9% US cos., 41.2% Japan cos.) 1995: $144.4 billion (40.9% US, 38.9% Japan) 2005: $227.4 billion (48.3% US, 22.6% Japan) 2014: $335.8 billion (memory $79.2 billion, µpus and µcus $62.1 billion, other logic $91.6 billion, analog $44.4 billion, discretes $20.2 billion, power $11.9 billion, all other $26.4 billion)

8/17 2013 Revenues by Company 1. Intel $48.0 billion (15.2%) 2. Samsung Electronics $29.6 billion (9.4%) 3. Qualcomm $17.3 billion 4. SK Hynix $12.8 billion 5. Micron Technology $11.8 billion 6. Toshiba $11.5 billion 7. Texas Instruments $10.6 billion 8. ST Microelectronics $8.1 billion 9. Broadcom $8.0 billion 10. Renesas $7.8 billion All other $149.9 billion Total $315.4 billion

8/17 Process Grow ingots of pure Si and slice into wafers about 300 microns thick Many identical integrated circuits are fabricated on the wafer in the wafer fabrication process Each integrated circuit on the wafer is termed a die or a chip Dice up the completed wafer and package the chips in plastic or ceramic housings with electrical leads (the assembly process)

Manufacturing Process (cont.) Fabrication Plant - carries out wafer fabrication process and wafer probe ( die sort ); fabrication plant sometimes called wafer fab for short Back End Plant - carries out assembly process (including wafer dicing) and device testing State-of-the-art 300mm 50 nm wafer fab (with capy of 30K wafer starts per month) ~ $5 B US State-of-the-art back end plant ~ $400 M US

Device Feature Sizes Generations of the same device type are differentiated by the minimum feature size, measured in nanometers (billionths of a meter) Each new generation of a device type requires more sophisticated and expensive processing equipment The equipment set in a semiconductor fabrication plant has a capability as to the minimum feature size of the devices that can be produced

Feature Sizes 8/17 Minimum Logic Memory Feature Size Device Device 1.2 micron 286 256K DRAM 1.0 micron 386 1M DRAM 0.7 micron 486 4M DRAM 0.5 micron Pentium 16M DRAM 0.35 micron Pentium Pro 64M DRAM 0.25 micron P III 256M DRAM 0.18 micron P IV 1G DRAM 0.13 micron 4G DRAM 90 nanometer 16G DRAM 65 nanometer 64G DRAM

Process and Product Structure Fabrication Plant Back End Plant Wafer Fab Wafer Bank Wafer Fab Probe Die Bank Assembly Bin Inventory Finished Goods Base Wafer Wafer Die Packaged Device Bins Finished Goods

8/17 Wafer Fabrication Processes Photolithography - transferring an image of a pattern onto the surface of the wafer Apply layer of light-sensitive plastic called photoresist, capable of withstanding etching Using a pattern mask, expose the resist to UV light Develop the image, leaving portions of the substrate exposed and the remainder protected by a coating of resist

Photolithography Equipment Resist coating and developing tracks Optical equipment ( photo machines ): Contact aligners (features down to 3 microns in size) Projection aligners (1.2 micron) 1X Step-and-repeat ( Steppers ) (1 micron) 5X Steppers (G Line - 0.7 micron, I Line - 0.35 micron) Scanners (latest down to 0.02 micron, i.e., 20 nm) In most cases, coat/develop track and photo machine are linked as one machine

Fabrication Processes (cont.) Etching - cutting trenches into the exposed areas of SiO 2 corresponding to the mask pattern Wet Etch - HF or Phosphoric Acid is used; isotropic, so not precise Dry Etch - Gas energized with electric discharge, with reactive ions and radicals present; plasma etching is anisotropic and permits more precise etching

8/17 Fabrication Processes (cont.) Oxidation - grow SiO 2 film on the wafers by exposing them to oxygen in a furnace SiO 2 is put down as insulation and as a protective coating between layers of circuitry Diffusion diffuse impurity into exposed silicon by blowing gas containing desired dopant over the wafers Common impurities include boron, phosphorous, nitrogen, polysilicon

Diffusion equipment Diffusion furnace - expose batch of wafers to a gas flow. Low cost, but limited accuracy. Same kind of equipment is used for oxidation or for diffusing an impurity a vertical furnace accommodating up to 150 wafers (6 lots). Process time ranges from 2 to 18 hours.

8/17 Fabrication Processes (cont.) Ion Implant Vaporize impurity atoms, disassociate the ions in an electric discharge, accelerate a beam of ions in a strong electric field and focus ions on the wafer. High cost, but much better accuracy than diffusion furnaces. Implant dopants species Boron, BF2, Phosphorous, Arsenic, Antominy Implant Equipment Types - High Current, Medium Current and High Energy Ion Implanters

8/17 Fabrication Processes (cont.) Metalization - coating wafer surface with metal or metal compounds by various methods: Evaporation - by means of filament contact or electron beam bombardment of metal source, metal vapor is released which settles on to wafers Sputtering - a heavy atom such as Argon is excited by electric discharge and collides with metal target, releasing metal shower on exposed wafers CVD - thermal decomposition of an organometallic compound onto the heated wafer

Fabrication Processes (cont.) Chemical Mechanical Polish (CMP) - dielectric insulation deposited between metal layers is ground to precise thickness. (Only used in fabs making logic devices with feature sizes of 0.35 microns or smaller or memory devices with feature sizes of 0.25 microns or smaller.)

8/17 Fabrication Processes (cont.) Stripping or ashing - resist removal by wet or dry means, respectively - one wafer at a time Cleaning wafers are rinsed in ultrapure, de-ionized water, followed by a spin-dry - usually 50 wafers at a time Sorting re-shuffle wafers in a given lot into a different order

Fabrication Equipment Equipment for metalization, etching, cleaning and ashing may consist of single-chamber tools, or several chambers may be collected into a cluster tool with a shared robot for load/unload One wafer at a time in each chamber Wet operations (etch and clean) are collected into wet benches which comprise a series of tanks served by a robot arm Batch of 50 wafers proceeds through the tanks

Overall Fab Process 8/17 A process flow (or process technology) is the complete series of wafer fabrication steps needed to fabricate a particular family of products. Various products produced in the same process flow typically have identical equipment settings ( recipes ) at each step The only difference between products in the same flow is the masks used at the lithography steps.

Fab Process Flows (cont.) A fab may have as few as one or as many as 90 different process flows Products in different process flows experience different machine recipes A process flow may have as few as one or as many as 200 different products (wafer types)

8/17 Fab Process Characteristics Typically, wafers move from step to step in a cassette holding 25 identical wafers called a lot Great variety of load sizes for equipment: Dry Etch, Ash, Strip, CVD, Metalization, CMP, Sort, Inspect process one wafer at a time. Process times per wafer range 0.25 3 minutes. Implant processes 13 wafers at a time (depends on wafer size). Process times per run range 5 75 minutes. Wet cleaning and etching steps process up to 2 lots at a time through a series of tanks. Process times per run range 10 40 mins. Diffusion furnaces process up to 4 or 5 lots at a time. Process times pre run range 2 16 hours. Photolithography processes one chip at a time. (!) Process times per wafer range 2 10 minutes. Because of the many layers, lots re-visit the same equipment for performance of different steps requiring different process times ( re-entrant flow ).

8/17 Typical Wafer Fab Construction Today Three Floors Top floor - airflow equipment Middle floor - fabrication equipment, operators Bottom floor - utilities, water and chemicals distribution Middle floor supported independently to minimize vibration Air flow from ceiling through floor to minimize turbulence (a large fab circulates 7M cu. ft. of air per minute)

Typical Fab Layout 8/17 Equipment arranged into bays of like equipment ( farm layout ) Etch Bay Lithography Bay Implant Bay, etc. Automated material handling system such as monorail with lot carriers powered by linearinduction motors connects stockers serving each bay; in most fabs, operators hand-carry wafer lots from stocker to processing machine

Typical Fab Operation Wafers move from step to step in a lot of 25 identical wafers. Entire processing sequence in a process flow may include 500 individual steps to define 25-30 layers of circuitry; average lot may take 40-60 days to pass through the whole flow. Bar codes on the lots are used for automatic downloading of proper recipe into processing equipment. Computers collect substantial amounts of data about process, wafer and equipment.

Scale of Operation 8/17 50,000 wafer starts per month 50 steppers/scanners plus coat/develop tracks 10 high current ion implanters, 8 medium current implanters 40 dry etch machines, 30 CVD machines, 20 metallization machines, 50 diffusion furnace tubes, 50 wafer probe test CPU s 400 operators and technicians, 100 engineers 24 hours per day, 365 days per year

Traditional Fab Organization Manufacturing Operators and Supervisors Equipment Technicians Equipment Engineers Process Engineers Device Engineers & Integration Engineers Managers Other engineering and MIS support

Die Sort Process Special electrical structures fabricated on the wafer are tested to verify proper electrical characteristics ( sample probe or parametric test or acceptance test ) Next, each chip on each wafer (each die ) is given a full functional test, and bad die are inked ( wafer probe or electrical die sort )

Back End Process Wafer dicing, die attach, wire bond, package molding and sealing (the assembly process ) Full functional test of the packaged device at various temperatures ( device test ), branding device ID, high-temperature operation ( burn-in ) followed by re-test, packing for shipment Lot sizes in the back end are 500-10,000 units Testing machines are basically computers with one or more test stations connected

Manufacturing Challenges Develop new process flows and ramp up production volume as quickly as possible Insure stable process and product quality Invest in capacity wisely Determine causes of lost yield and lost equipment productivity, and eliminate losses as quickly as possible Reduce total elapsed time ( cycle time ) Improve on-time delivery Load factories to maximum possible revenue

Manufacturing Yield Line Yield is the fraction of wafers surviving the fab process flow. Typical line yields: 70-98% Die Yield is the fraction of chips on a completed wafer that function at wafer probe. Typical die yields: 20-95% Causes of line yield loss: Wafer mis-handling Wafer mis-processing Causes of die yield loss: Process out of control (over-etch, under-deposit, etc.) Contamination (particles causing short or open in the circuit)

Equipment Productivity Availability is the fraction of time machine is production-worthy (i.e., not under maintenance and not waiting for maintenance) Utilization is the fraction of time machine is engaged in production activity Overall equipment efficiency (OEE) is the should-take time for the work actually completed divided by the total time Typical OEE of fab equipment: 20-80%

On-Time Delivery On-time delivery ( LIPAS ) is the fraction of products whose output quantity in the given time period is at least as much as was scheduled. Typical LIPAS for a fab (based on weekly schedules): 60-95% Typical LIPAS to external customers (based on daily schedules): 85-98%

Cycle Time Cycle time is what the semiconductor industry calls flow time, i.e., the time it takes manufacturing lots to pass through the entire production process. Typical average cycle times for wafer fabs range from 3 days per mask layer down to (world-class) 1 day per mask layer.

Why Cycle Time is Important Facilitates yield improvement Reduces working capital Increases revenue (because of rapid erosion of selling prices for technology goods, especially commodity chips and consumer goods) In short, TIME IS MONEY

Summary: The Grand Challenges How do we: Maintain tight control of the manufacturing process to successfully fabricate the circuits Achieve high yield and high productivity Provide excellent on-time delivery Wisely invest in expensive process equipment Rapidly develop, deploy and ramp up new manufacturing technology and new products Compress the time to manufacture

IE Job Opportunities Integrated Device Manufacturers In China: many 8/17 In Bay Area: Analog Devices, Maxim, Western Digital, Seagate, Headway Technologies, various Solar companies In Portland: Intel, Analog Devices, Microchip, Maxim In Phoenix: Intel, Microchip In Dallas: Texas Instruments In Korea: Samsung, Hynix In Singapore: Micron, ST Microelectronics, many others Foundries In Albany, NY: Global Foundries In Portland: Wafer Tech (TSMC) In Orange County: Tower Jazz In Dresden, Germany: Global Foundries In Taiwan: TSMC, UMC, other foundries In Singapore: Global Foundries, TSMC, others

IE Job Opportunities (cont.) Fabless companies In Bay Area: Western Digital, NVIDIA, Cypress, others In Southern California: Qualcomm, Broadcomm, others Many other locations Equipment companies In Bay Area: Applied Materials, Lam Research, KLA Tencor, Form Factor, others