DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

Similar documents
Design of Optimized Digital Logic Circuits Using FinFET

FinFET-based Design for Robust Nanoscale SRAM

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Deep Submicron 50nm CMOS Logic Design With FINFET P.C.Rajashree #1, Ancy Thomas #2, Rose Jaria #3, Jane Precilla #3, Alfred Kirubaraj #4

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

FinFET Devices and Technologies

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Session 10: Solid State Physics MOSFET

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

FUNDAMENTALS OF MODERN VLSI DEVICES

THRESHOLD VOLTAGE CONTROL SCHEMES

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Semiconductor TCAD Tools

Performance Analysis of FinFET Based Inverter circuit, NAND and NOR Gate at 22nm and 14nm Node technologies

DURING the past decade, CMOS technology has seen

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

FinFET vs. FD-SOI Key Advantages & Disadvantages

INTRODUCTION TO MOS TECHNOLOGY

DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3

Performance Evaluation of MISISFET- TCAD Simulation

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ECE 340 Lecture 40 : MOSFET I

Leakage Power Reduction by Using Sleep Methods

Ultra Low Power VLSI Design: A Review

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Power MOSFET Zheng Yang (ERF 3017,

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Performance Analysis of Vertical Slit Field Effect Transistor

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

An Analytical model of the Bulk-DTMOS transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Alternative Channel Materials for MOSFET Scaling Below 10nm

problem grade total

High Performance and Low Leakage 3DSOI Fin-FET SRAM

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs

Abhinav Kranti, Rashmi, S Haldar 1 & R S Gupta

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 2 LITERATURE REVIEW

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

PROCESS and environment parameter variations in scaled

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

AS THE semiconductor process is scaled down, the thickness

Journal of Electron Devices, Vol. 20, 2014, pp

Study of Outpouring Power Diminution Technique in CMOS Circuits

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Drain. Drain. [Intel: bulk-si MOSFETs]

Leakage Power Reduction in CMOS VLSI

Transcription:

International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer 3 1 Dept of ECE, Narayana engineering College 2 Principal, Narayana Engineering College 3 Dept of EEE, Prakasam Engineering College (E-mail:nagarjunamagicpg@gmail.com, sudheer.kasa@gmail.com) Abstract: In this paper we propose double gate transistor i.e. FINFETS circuits. It is the substitute of bulk CMOS that mean without any compromise in fabrication process except one or two changes. Actually bulk CMOS suffers high power consumption and high leakage currents so we implement a various novel circuits i.e FINFETS logic design style in 32nm technology and analyzing various parameters like power dissipation, delay, frequency are observed in this paper. In here we notice that less power consumption in FINFETS when compared to ordinary bulk CMOS. We also check the other submicron technology compared to that this submicron technology got less power consumption. In this paper we also minize the transistor delay changing the threshold voltage. Keyword: Finfets, IG, LP, Hybrid, SG, CMOS, Nand. 1. INTRODUCTION Since the fabrication of MOSFET, the minimum channel length has been shrinking continuously. The motivation behind this decrease has been an increasing interest in high speed devices and in very large scale integrated circuits. The sustained scaling of conventional bulk device requires innovations to circumvent the barriers of fundamental physics constraining the conventional MOSFET device structure. The limits most often cited are control of the density and location of dopants providing high I on /I off ratio and finite subthreshold slope and quantum-mechanical tunneling of carriers through thin gate from drain to source and from drain to body. The channel depletion width must scale with the channel length to contain the off-state leakage I off. This leads to high doping concentration, which degrade the carrier mobility and causes junction edge leakage due to tunneling. Furthermore, the dopant profile control, in terms of depth and steepness, becomes much more difficult. The gate oxide thickness tox must also scale with the channel length to maintain gate control, proper threshold voltage V T and performance. The thinning of the gate dielectric results in gate tunneling leakage, degrading the circuit performance, power and noise margin. At the current pace of scaling, the industry predicts that planar transistors will reach feasible limits of miniaturization by 2010, concurrent with the widespread adoption of 32 nm technologies. At such sizes, planar transistors are expected to suffer from undesirable short channel effect, especially off-state leakage current, which increases the idle power required by the device. In a DG device, the channel is surrounded by two gates on surfaces, allowing more effective suppression of off-state leakage current. DG gates also allow enhanced current in the on state, also known as drive current. These advantages translate to lower power consumption and enhanced device performance Its main advantage is that better control of short channel effects, lower leakages and better yield in aggressively scaled CMOS process, will required to overcome these obstacles to scaling. FINFET (DG) TECHNOLOGY Double gate MOSFETs (DG-FET) is a MOSFET that has two gates to control the channel. Its schematic is shown in Figure 1. Its main advantage is that of improved short channel effects. Now a day FINFETS very usually using because of short channel effects, better in driving current, more compactable that other device. FINFETS are substitutes for bulk

2 International Journal of Knowledge Management & e-learning CMOS. The two gates for FINFETs provide effective control of the short-channel effects without aggressively scaling down the gate-oxide thickness and increasing the channel doping density. The separate biasing in DG device easily provides multiple threshold voltages. It can also be exploited to reduce the number of transistors for implementing logic functions. In this paper we scale down upto 32nm in here power consumption of FINFETS will be less compared to bulk CMOS. Figure 1: DG Transistor Structure (FINFET) Reduced short-channel effects. Improved sub-threshold slope. No discrete dopant fluctuations. Better control Ion and Ioff. 3. FINFETS LOGIC DESIGN STYLES In this paper, comparison of various parameters between an ordinary two-input CMOS NAND and four FINFETs logic design styles for two-input NAND are presented. 3.1. CMOS NAND Gate A two-input CMOS NAND gate is implemented by placing two NMOS in series and two PMOS in parallel with inputs (A, B) and output (Out). The output is low when the two inputs are high, else high which can be viewed from Table 1. Here the channel is controlled by a single gate which is input to FET. Table 1 Truth Table of 2-input NAND Gate Input 1 Input 2 Output 0 0 1 0 1 1 1 0 1 1 0 0 Figure 2: FINFET Structure Effective channel length Leff = Lgate + 2 Lext (1) Effective channel width W = Tfin + 2 Hfin Where Hfin and Tfin are the fin height and thickness respectively, Lgate is length of the gate, Lext is extended source or drain region see in Figure 2. The main features of FINFETs are Ultra thin Si fin for suppression of short channel effects, raised source/ drain to reduce parasitic resistance and improve current drive. FINFETs are designed to use multiple fins to achieve larger widths. FINFETS provide much lower sub-threshold leakage currents than bulk CMOS at the same gate length Key limitations of CMOS scaling addressed through Better control of channel from transistor gates. 3.2. Short Gate (SG) Mode The shorted-gate (SG) mode FINFETs style implemented by tying both gates, achieves high current drive and eventually decrease transistor delay by applying high voltage to both gates of N-FINFETs and can have low leakage current with increase in threshold voltage of the front gate by back gate when both transistors are grounded. The SG mode NAND gate can be obtained by directly translating the CMOS NAND design to FINFETs, while retaining the same size. 3.3. Independent Gate (IG) Mode In this mode independent signals are used to drive the two device gates, the back gate can be used independently as an input to reduce the number of transistors needed to implement numerous logic functions. This can be designed to have asymmetric rise and fall delays because only one transistor gate is used to pull-up but this can lead to large disparities under conditions of greater load.

DG-Finfet Logic Design Using 32NM Technology 3 3.4. Low-power (LP) Mode In this mode back-gate is tied to a reverse-bias voltage to reduce leakage power and the drive strength of every FINFET is reduced equally. 3.5. Hybrid (IG/LP) Mode This mode is a modification of the IG design, the parallel transistors, i.e. the pull up for NAND is merged. Unlike the IG design, delays are balanced by reducing the strength of the complimentary series structure, this can be achieved by tying the back gates of FINFETs in series to a strong reverse bias. The circuit diagrams of ordinary CMOS two-input NAND and different FINFETs-based two-input NAND gate styles are shown from Figure 3 - Figure 8. Figure 6 : LP Mode Nand FINFETS Circuit Diagram Figure 7 : SG mode Nand Figure 3 : Finfet Cmos Nand Figure 8 : Ordiary Cmos Nand Figure 4 : IG/LP Mode Nand Figure 5 : IG Mode Nand RESULTS AND DISCUSSION The six mode of circuits and layout of two input five FINFETS Nand gate and one ordinary Nand gate (bulk CMOS) are doing the work in microwind tool3.1 with 32nm foundry using this tool we constructed device, implemented and simulated the results. In here we first design the circuit and checking the logic using truth table see the Figure 3 to Figure 8 and Table 1. After that we convert the circuit into layout design see Figure 9 to Figure 14. Next the design rule must be checked before applying the inputs. The design rule which is used in simulation is lambda based design rule. From the Table 2 we show the various powers applying the input and get the output of various Finfet circuits and ordinary CMOS gate. From the Table 2 we also

4 International Journal of Knowledge Management & e-learning compare the power dissipation of ordinary CMOS and Finfet circuits. The output of the power results are shown in Table 2 its corresponding graph is shown Graph 1. Using microwind tool we also analyzed the some more parameter they are delay, capacitance, inductance, resistance and frequency. These parameter results are show in Graph 2 to Graph 3 when compare to other FINFETS device IG mode Nand gate has less power dissipation. We also check at 65nm IG has more leakages when compared to others. In this paper using 32nm technology we decrease those leakages in 65nm as possible as. LP, SP, CMOS FINFET Nand when compare to IG and Hybrid, it will gets less leakages. In this paper we taken 1.80 vtho. At this vtho some trasistor delay will happen in IG and IG_LP when we change the threshold voltage 0.300 to 0.450 we can minize the transistor delay or change the temparature we can also minize the transistor delay. Finfet Layout Diagram Figure 12: LP Mode nand Figure 13: SG Mode Nand Figure 9: Finfet Cmos Nand Figure 14: Ordinary Cmos Nand Figure 10: IG/LP Mode Nand Table 2 Comparisons of Ordinary Nand and Diff. Finfet Nand Power Dissippation Supply CMOS SG LP IG_LP IG Ordinary Voltage Nand Nand 0.35 0.065 0.065 0.065 0.043 0.043 0.066 0.7 0.318 0.313 0.319 0.215 0.211 0.322 1.2 1.958 1.927 1.960 1.382 1.350 1.921 1.8 13.357 13.095 13.360 7.631 7.368 12.985 2.5 87.478 85.634 87.489 42.852 40.750 87.990 Various parameters Table and Graphs Figure 11: IG Mode Nand Graph 1: Power Dissipation

DG-Finfet Logic Design Using 32NM Technology 5 CMOS. Compared to the bulk CMOS Nand gate, FINFETS device gets less power dissipation. In this paper changing temperature and threshold voltage we minize the transistor delay in IG and Hybrid. CONCLUSION Graph 2: Various Parameter Graph 3: Finfet Nand Gate Outputs Delay(ns) In this paper we discussed various circuits for various parameters like power consumption, delay, frequency, o/p capacitance/p resistance/p inductance. Among all circuits IG gets less power consumption and resistance compared to other FINFETS devices. Hybrid IG/LP mode gate get the less capacitance output compared to other FINFETS device and bulk REFERENCE [1] S. Thompson, P. Packan and M. Bohr, MOS Scaling, Transistor Challenges for the 21 st Century, Intel. Tech. J., Vol. Q3, 1998, pp. 1-19. [2] C. H. Wann, H. Noda, T. Tanaka, M. Yoshida and C. Hu, A Comparative Study of Advanced MOSFET Concepts, IEEE Trans. Electron Devices, Vol. 43, No. 10, 1996, pp. 1742-1753, Oct. [3] D. Hisamoto, W. C. Lee, J. Keidzerski, H. Takeuchi, K. Asano, C. Kuo, T. J. King, J. Bokor and C. Hu, A Folded Channel MOSFET for Deep-sub-tenth Micron Era, In IEDM Tech. Dig. 1998, pp. 1032-1034. [4] L. Wei, K. Roy, and V. K. De, Low Voltage Low Power CMOS Design Techniques for Deep Submicron ICs, Thirteenth International Conference on VLSI Design, 2000. [5] H. Qin, Y. Cao, D. Markovic, A. Vladimirescu, and J. Rabaey, SRAM Leakage Suppression by Minimizing Standby Supply Voltage, IEEE International Symposium on Quality Electronic Design, 2004. [6] N. M. Pletcher, Micro Power Radio Frequency Oscillator Design, Thesis, University of California, 2004. [7] Sicard 2006, E. Sicard, M. Aziz Introducing 65nm Technology in Microwind3, Application Note Available on www.microwind.org, August 2006.