Si-EPIC Workshop: Silicon Nanophotonics Fabrication Fibre Grating Couplers

Similar documents
Si-EPIC Workshop: Silicon Nanophotonics Fabrication Directional Couplers

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Fully-Etched Grating Coupler with Low Back Reflection

Silicon photonic devices based on binary blazed gratings

Numerical Analysis and Optimization of a Multi-Mode Interference Polarization Beam Splitter

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Silicon Photonic Device Based on Bragg Grating Waveguide

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Plane wave excitation by taper array for optical leaky waveguide antenna

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

Polarization Splitting Rotator (PSR) based on Sub-Wavelength Grating (SWG) waveguides

A thin foil optical strain gage based on silicon-on-insulator microresonators

Variable splitting ratio 2 2 MMI couplers using multimode waveguide holograms

SUPPLEMENTARY INFORMATION

A tunable Si CMOS photonic multiplexer/de-multiplexer

Design, Simulation & Optimization of 2D Photonic Crystal Power Splitter

Figure 1 Basic waveguide structure

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Optics Communications

AWG OPTICAL DEMULTIPLEXERS: FROM DESIGN TO CHIP. D. Seyringer

High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform

Heinrich-Hertz-Institut Berlin

Directional coupler (2 Students)

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Optical Polarization Filters and Splitters Based on Multimode Interference Structures using Silicon Waveguides

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Ultra-compact, flat-top demultiplexer using anti-reflection contra-directional couplers for CWDM networks on silicon

Title. Author(s)Fujisawa, Takeshi; Koshiba, Masanori. CitationOptics Letters, 31(1): Issue Date Doc URL. Rights. Type.

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

The Design of Optical Signal Transforms Based on Planar Waveguides on a Silicon on Insulator Platform

Numerical Analysis and Optimization of a Multi-Mode Interference Based Polarization Beam Splitter

Long-Working-Distance Grating Coupler for Integrated Optical Devices

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Title. Author(s)Saitoh, Fumiya; Saitoh, Kunimasa; Koshiba, Masanori. CitationOptics Express, 18(5): Issue Date Doc URL.

Compact wavelength router based on a Silicon-on-insulator arrayed waveguide grating pigtailed to a fiber array

Two bit optical analog-to-digital converter based on photonic crystals

Dual Vivaldi UWB nanoantenna for optical applications

Cost-effective CMOS-compatible grating couplers with backside metal mirror and 69% coupling efficiency

CMOS-compatible highly efficient polarization splitter and rotator based on a double-etched directional coupler

Supporting Information: Plasmonic and Silicon Photonic Waveguides

Compact hybrid TM-pass polarizer for silicon-on-insulator platform

and smart design tools Even though James Clerk Maxwell derived his famous set of equations around the year 1865,

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Large Scale Silicon Photonic MEMS Switch

Wide bandwidth and high coupling efficiency Si 3 N 4 -on-soi dual-level grating coupler

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

Investigation of ultrasmall 1 x N AWG for SOI- Based AWG demodulation integration microsystem

Comparison of AWGs and Echelle Gratings for Wavelength Division Multiplexing on Silicon-on-Insulator

Fast, Two-Dimensional Optical Beamscanning by Wavelength Switching T. K. Chan, E. Myslivets, J. E. Ford

Hybrid vertical-cavity laser integration on silicon

Integrated Photonics based on Planar Holographic Bragg Reflectors

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers

Scalable Electro-optical Assembly Techniques for Silicon Photonics

Keysight Technologies Using a Wide-band Tunable Laser for Optical Filter Measurements

Chapter 1 Introduction

Design Rules for Silicon Photonics Prototyping

Photonic Integrated Circuits Made in Berlin

Nanophotonic Waveguides and Photonic Crystals in Silicon-on-Insulator

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

Polarization Analysis of an Asymmetrically Etched Rib Waveguide Coupler for Sensing Applications

LASER &PHOTONICS REVIEWS

Numerical analysis of a swift, high resolution wavelength monitor designed as a Generic Lightwave Integrated Chip (GLIC)

Fabrication tolerant polarization splitter and rotator based on a tapered directional coupler

3 General Principles of Operation of the S7500 Laser

Supplementary Figures

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

3550 Aberdeen Ave SE, Kirtland AFB, NM 87117, USA ABSTRACT 1. INTRODUCTION

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Research Article Large-Area Binary Blazed Grating Coupler between Nanophotonic Waveguide and LED

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Simultaneous Interrogation of Multiple Fiber Bragg Grating Sensors Using an Arrayed Waveguide Grating Filter Fabricated in SOI Platform

Design and Simulation of Optical Power Splitter By using SOI Material

High-Coherence Wavelength Swept Light Source

Tunable Color Filters Based on Metal-Insulator-Metal Resonators

SUPPLEMENTARY INFORMATION

Two-dimensional optical phased array antenna on silicon-on-insulator

Fibre Optic Sensors: basic principles and most common applications

GoToWebinar Housekeeping: attendee screen Lumerical Solutions, Inc.

New Waveguide Fabrication Techniques for Next-generation PLCs

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

grating coupler array on the SOI platform for fan-in/fan-out of multi-core fibers with low insertion

Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, November 2015, Brussels, Belgium

Design and Analysis of Resonant Leaky-mode Broadband Reflectors

Waveguiding in PMMA photonic crystals

True%Analog%Non-Mechanical%Beam%Steering%Using%Liquid%Crystal% Waveguide%Techniques%

Deliverable Report. Deliverable No: D2.9 Deliverable Title: OAM waveguide transmission

Guided resonance reflective phase shifters

Mode analysis of Oxide-Confined VCSELs using near-far field approaches

Vanishing Core Fiber Spot Size Converter Interconnect (Polarizing or Polarization Maintaining)

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

A novel tunable diode laser using volume holographic gratings

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

Convergence Challenges of Photonics with Electronics

Transcription:

Si-EPIC Workshop: Silicon Nanophotonics Fabrication Fibre Grating Couplers June 30, 2012 Dr. Lukas Chrostowski

Outline Coupling light to chips using Fibre Grating Couplers (FGC, or GC). Grating coupler physics Tutorial on modelling 2

lower than -40 db [5] that is ignored in our simulation. calculated as functions of the coupling coe cients. The waveguide effective indices are calculated by a 2D finite-difference mode solver [9]. The coupling coe cients of the directional couplers using coupled390 Caryare Gunncalculated and Thomas L. Koch Fiber input Fiber output mode theory [10]. w Coupling coe cients are critical to the performance of microring resonators [2] and, as shown in Fig. 2, signifisi SiO magnitude, cladding cantlyl affect the shape, Q factor, and extinc2!m Input port tion ratio of the reflection spectrum. Therefore, we use h Are used to couple light in/out of the chip a racetrack shape to carefully control the coupling coefw via the top Buried SiO coupling condition, we scan ficients. To find the optimal Through port the reflection spectrum as a function of 12 and 34 and Input coupler similar electrical pads calculate Rp Rvtoand 10log(Rp /R v ), where Rp is the Si substrate maximum reflectivity and Rv is[28]. Reflection port Figure 11.7 typically Schematic of waveguide 10x10 with nano-taper coupler umthe minimum reflectivity. Based on the results shown in Fig. 3, we choose 12 Heat sink photonics [31, 32] have a number of unique traits a relatively small number of to be 0.84 and to be 0.77 in order to satisfy the dual34 tocan have chip grating teeth, a desire mode-match to the hundreds Gaussian output of a per single-mode Temperature controller fiber, and criteria a remarkably of widehigh optical reflectivity bandwidth. and high extinction ratio. A silicon-grating coupler exploits the high index contrast between silicon and The was fabricated by epixfab at IMEC using silicon dioxide, as welldevice as the sub-wavelength patterning capabilities of a modern DUV lithography process, to create a grating capable of creating a well-controlled schematic is 193 nm photolithography. The measurement Fig. 4. Measurement schematic with an inset showing optical mode with lateral dimensions equivalent to the core of an optical fiber, or shown in Fig. 4. Periodic grating couplers [11] are used to!10 mm in length. An example of a grating coupler is shown in Figure 11.8. an image of the Y-branch power splitter. couple light into and out of the waveguides. A Y-branch www.download-it.org/learning-resources.php?promocode=&partnerid=&content=story&storyid=1900 e reference: t9svae7agovn5h9q6o7tp6cpk6-2587, for 1 user on Jun 19, 2010 to Customize Your Account lukasc@gmail.com Grating Couplers w 2 t In pu tl ig ht t 2 etp://www.download-it.org/learning-resources.php?promocode=&partnerid=&content=story&storyid=1900 reference: t9svae7agovn5h9q6o7tp6cpk6-2587, for 1 user on Jun 19, 2010 to Customize Your Account lukasc@gmail.com 2 Luxtera Inc. Figure 11.8 Oblique view of a planar grating coupler structure employing curved gratings, nonuniform pitch, and non-uniform periodicity. This particular device is formed using curved polysilicon gratings formed on top of a planar silicon slab which is tapered down to a single mode silicon waveguide. Image courtesy Luxtera, Inc. r 11 Silicon Photonics From Optical Fiber Telecommunications - V Ivan P. Kaminow, Tingye Li and Alan E. Willner 3

Grating Fiber Coupler single-mode fibre, 10 adiabatic taper (>150µm) TE to integrated circuit grating 10µm wide waveguide intec 2008 UBC EECE - Photonics 584 / CMC Workshop Research Silicon Group Nanophotonics - http://photonics.intec.ugent.be Fabrication 4

1-D grating coupler Experimental results (λ=630nm,depth=70nm, TE pol.) 31 % efficiency (5.1 db coupling loss) 40nm 1dB bandwidth Also acts as a broadband filter shallow grating deep trench intec 2008 UBC EECE - Photonics 584 / CMC Workshop Research Silicon Group Nanophotonics - http://photonics.intec.ugent.be Fabrication 5

2-D grating + polarization splitter 10 Fiber-to-waveguide interface for polarization independent photonic integrated circuit 2-D grating, 2 waveguides couples each fiber polarization in its own waveguide TE in the waveguides the polarization is the same (TE) patented TE intec 2008 UBC EECE - Photonics 584 / CMC Workshop Research Silicon Group Nanophotonics - http://photonics.intec.ugent.be Fabrication 6

single-mode fiber Polarisation Diversity Circuit light in y x on-chip components are polarisation dependent fiber-to-fiber transmission is polarisation independent light out x-polarization 2-D grating split polarisations identical circuits y-polarization z y x combine polarisations patented 2-D grating intec 2008 UBC EECE - Photonics 584 / CMC Workshop Research Silicon Group Nanophotonics - http://photonics.intec.ugent.be Fabrication 7

Measurement!"#$%&'(# )*+,-./#0,1/2#3#4/5/6572# ;G98# ;,E/2,# G=627167>/# (H#0/+1/F# "G#I-/2#!7.F/2#!7.F/2# ;7E>*5/2# G7+=572# )?;# @AB#C,+7>71=D7+/2# 89:#;<=># )/E>/2,5*2/# ;7+527../2# 8

Semi-Automated Optical Probe Station 2 fibres All-band Agilent tunable laser (180 nm span, 1460-1640 nm) 1220 nm tunable laser Grating Grating 12.5 um 25 um 50 um Grating Grating Grating Grating 4 mm 9

Automated Probe Station Fibre Array 10

11

Automated Probe Station Fibre Array 127 µm fibre spacing 2 separate devices 127 µm 127 µm 12

13

14

15

16

FDTD Tutorial Grating Couplers

Grating Coupler Operation Case 1 Optical wavelength inside the grating matches its period, 0 n e = K = 2 = n e k 0 = 2 n e Vertical output (1 st diffraction order), plus back-reflection (from 2 nd diffraction order) 0 18

Grating Coupler Operation Detuned Case 2 Optical wavelength is smaller than the grating period, 0 n e < K = 2 = n e k 0 = 2 n e Vertical output at an angle, no 2 nd order back-reflection 0 19

Grating Coupler Bragg Condition =sin 1 k x k 0 n 1 =1 y k x = k 0 = 2 0 mk Grating, m=2 = n e k 0 = 2 n e waveguide propagation constant 0 x 2K =2 2 K = 2 n 2 = n SiO2 Grating, m=1 20

Gratings Bragg condition Bragg condition Grating s scattering modifies the light s wave-vector to be (in the direction of propagation, x): Slab s effective index in the region of the grating: for 220 nm thick ~ 2.875 for 150 nm thick ~ 2.574 k x = mk = m 2 Duty cycle is 50%, thus estimate average effective index to be 2.724 k x = K = 2 2.724 1.55µm 2 0.63µm = 11.04 9.97 = 1.069µm 1 21

Gratings Bragg condition We know the free-space wave-vector: k 0 = 2 0 =4.05µm 1 Estimated diffracted angle is: =sin 1 k x k 0 =sin 1 1.069 4.05 = 15.3 22

Detuned second-order gratings: A first generation of gratings was etched 40 to 50nm deep, with a 610 nm pitch and uniform 50% fill factor. These have a coupling efficiency of about 20% and a 60 nm 3 db bandwidth [16] without index matching material between grating and fibre. The second generation of couplers used has a 70 nm etch depth, a 630 nm pitch and a higher coupling efficiency of up to 35% when cladded with oxide, with an almost 60 nm wide 3 db bandwidth. [Pieter Dumon thesis] 23

Source: Dirk Taillaert, PhD Thesis, IMEC 24

Dirk Taillaert, PhD Thesis, IMEC 4.2.1 Vertical coupling Source: Dirk Taillaert, PhD Thesis, IMEC The case of vertical coupling ( =0) is very interesting from a practical point of view. Vertical coupling can be achieved when the grating period equals the wavelength divided by the refractive index. For a very shallow grating, this index is the effective index of the waveguide mode. As mentioned in chapter 3, this grating is called a second order grating. But for the grating coupler, the first order diffraction is used. The second order diffraction is reflecting back into the waveguide. To avoid any confusion, we will use the term coupler grating instead of second order grating in the rest of this work. Figure 4.2 shows the reflection R as a function of wavelength for dif- 4.2.2 Almost vertical coupling To avoid the reflection at the grating, we have to choose a working point away from the second order reflection peak. Either a shorter or longer wavelength can be chosen. As a result, light is coupled out not exactly vertical, but at a small angle with respect to the vertical direction. This grating is also called a detuned grating. Instead of changing the wavelength, the grating period can be changed. The grating can be negatively or positively detuned (figure 4.5). In a negatively detuned grating, the grating period is smaller (K is larger) or the wavelength is longer ( is smaller) compared to the case of vertical coupling. In a positively detuned grating, the grating period is larger ( is smaller) or 25

Source: Dirk Taillaert, PhD Thesis, IMEC 0.6 0.5 power up fibre 10 reflection 0.6 0.5 power up fibre 8 reflection 0.4 0.4 0.3 0.3 0.2 0.2 0.1 0.1 1500 1550 1600 1650 wavelength (nm) (a) with air on top 1500 1550 1600 1650 wavelength (nm) (b) with index matching layer Figure 4.8: Calculated coupling efficiency to fibre for an optimized uniform grating and near vertical coupling. =630 nm, ed=70 nm, ff=0.5, N=20, 26

Experimental Alignment Tolerances Source: Dirk Taillaert, PhD Thesis, IMEC 4 3 1dB z offset (µm) 2 1 0 1 2 3dB 1dB 0.5dB 0.5dB 1dB 3dB 3 4 3 2 1 0 1 2 3 4 x offset (µm) (b) measurement results Figure 6.9: Experimental alignment tolerances. 27

Resources - Grating Couplers Book chapter: David J. Lockwood and Lorenzo Pavesi, Silicon Photonics II Components and Integration, 2011, Online PDF Chapter 3 Interfacing Silicon Nanophotonic Integrated Circuits and Single-Mode Optical Fibers with Diffraction Gratings (IMEC) Chrostowski and Hochberg, Silicon Photonics Design, Ch. Optical I/O Thesis: Dirk Taillaert, PhD Thesis, IMEC Journal papers: Luxtera: A. Mekis et al. A Grating-Coupler-Enabled CMOS Photonics Platform. IEEE Journal of Selected Topics in Quantum Electronics, 17.3 (2011), pp. 597 608. issn: 1077-260X. doi: 10.1109/JSTQE.2010.2086049 28

Grating Coupler Modelling Approach: 1) Waveguide to air 2D FDTD Start with mode-source in the waveguide, measure output power in free-space far-field, check angle 2) Air to waveguide 2D FDTD Start from optical fibre Gaussian mode incident on grating. Measure power in the waveguide use previous angle, vary position Fibre mode MFD 10.5 3) optimize for 1550 nm 4) validate design 3D FDTD 29

IMEC Coupler Oxide 2 µm Cladding 2 µm check? Silicon 0.22 µm Period 0.63 µm Fill 0.32 µm (each tooth) Etch 70 nm (150 nm remaining) Oxide index 1.444 Si index: 3.47 constant, vs. Palik data dispersive? 30

1) Output Grating Coupler simulation Launch a mode in the slab Monitor the output far-field pattern vs. angle (for a specific wavelength) 31

3D FDTD Fibre Grating Coupler object 32

Setup 33

Far field projection Peak angle is between 10-20º (wavelength dependant) 34

Total output power 1545 nm peak wavelength Power out 56% 35

e.g., 20 15 Grating coupler, 2D FDTD simulation, accuracy=5 y Position [um] 10 5 0 0 2 4 6 8 10 12 14 16 x Position [um] 36

2) Input Grating Coupler simulation Gaussian beam input (waist diameter 10.5 µm) Measure transmission spectrum into slab waveguide Sweeps: angle, position 37

Setup 38

Setup FDTD 7: FDTD 8: 39

Setup Movie Move the movie monitor into simulation region. Setup script is automatically executed before simulation 40

Run Run simulation Run analysis script runanalysis; 41

Runanalysis; # Transmission at 1550 nm lambda=c/getdata("coupled","f"); Tspectrum=transmission("coupled"); T_1550=interp(Tspectrum,lambda,1550e-9); # Spectrum plot ( lambda, Tspectrum,"Wavelength","Power Coupled", "Grating coupler efficiency"); plot ( lambda, 10*log10(abs(Tspectrum)),"Wavelength","Power Coupled", "Grating coupler efficiency"); setplot("x min", 1.5e-6); setplot("x max", 1.6e-6); setplot("y min", -15); setplot("y max", 0); setplot("y label", "Coupling, db"); Tspectrum1=transmission("below"); plot ( lambda, 10*log10(abs(Tspectrum1)),"Wavelength","Power Coupled", "GC - into substrate"); setplot("x min", 1.5e-6); setplot("x max", 1.6e-6); setplot("y min", min(10*log10(abs(tspectrum1)))-1); setplot("y max", 0); setplot("y label", "db"); Tspectrum1=transmission("above"); plot ( lambda, 10*log10(abs(Tspectrum1)),"Wavelength","Power Coupled", "GC - refection"); setplot("x min", 1.5e-6); setplot("x max", 1.6e-6); setplot("y min", min(10*log10(abs(tspectrum1)))-1); setplot("y max", 0); setplot("y label", "db"); Tspectrum1=transmission("backwards"); plot ( lambda, 10*log10(abs(Tspectrum1)),"Wavelength","Power Coupled", "GC - backwards, waveguide"); setplot("x min", 1.5e-6); setplot("x max", 1.6e-6); setplot("y min", min(10*log10(abs(tspectrum1)))-1); setplot("y max", 0); setplot("y label", "Coupling, db"); # http://docs.lumerical.com/en/fdtd/cmos_angular_response.html 42

Simulation Results 43

Where is the coupling loss from? 44

Optimization Optimize the gaussian beam angle the position of the beam Done either with optimization or with sweep 45

Sweep Angle 46

After it is done, run the script to analyze all the data. # plot the results from an FDTD sweep on position. angles=getsweepdata("sweep, angle","angle"); lambda=c/getdata("coupled","f"); NUM=length(angles); T_data=getsweepdata("sweep, angle","tspectrum"); plot ( lambda, T_data,"Wavelength","Power Coupled", "Gaussian angles: "+num2str(angles(1))+" to " +num2str(angles(num))); legend (num2str(angles(1))); setplot("y label", "Coupling"); plot ( lambda, 10*log10(abs(T_data)),"Wavelength","Power Coupled", "Gaussian angles: "+num2str(angles(1))+" to " +num2str(angles(num))); legend (num2str(angles(1))); setplot("x min", 1.5e-6); setplot("x max", 1.6e-6); setplot("y min", -15); setplot("y max", 0); setplot("y label", "Coupling, db"); T_1550=getsweepdata("sweep, angle","t_1550"); plot ( angles, 10*log10(T_1550), "Coupling @ 1550"); setplot("x label", "Angle"); setplot("y label", "Coupling, db"); matlabsave("gc_in_sweep,angle",t_data,angles,lambda); # http://docs.lumerical.com/en/fdtd/cmos_angular_response.html 47

Gaussian input Angle Mesh accuracy = 2 (auto mesh, conformal) About 5-10 nm per degree tuning 48

Gaussian Input Angle Mesh accuracy = 4 (auto mesh, conformal) 49

Sweep Position 50

Gaussian Input Position 51

Sweep Buried Oxide Thickness Sweep the oxide thickness Achieved by overlapping the oxide on top of the silicon substrate, and changing the y-min of the oxide. 52

Oxide thickness 2 µm Oscillations as a function of thickness are a result of constructive/destructive interference from the oxide layer. 53

Optimized with 10 nm mesh-x 16º injection angle, optimized laterally: 10 µm peak is 1.547 nm -3.45 db coupling efficiency with auto-mesh, accuracy=4 54

Sweep Mesh accuracy Convergence test 55

Convergence Test Without Mesh Override 56

Convergence Test Using Mesh Override Conclusion: Slightly faster convergence 57

Sensitivity to Accuracy Using mesh overrides to ensure correctly-periodic mesh Error is < 10 nm, ~0.01 coupling error 58

Manual mesh Mesh override: 10 nm grid in the waveguide & grating 59

3D FDTD Grating Coupler 60

3D FDTD Grating Coupler Layout imported from GDS FDTD simulation region includes substrate and cladding: -2.4 µm < z < 3.0 µm Gaussian beam input, above the oxide Beam centre offset 5 µm from 1 st grating tooth. Power monitors: in the taper (faster simulation time) in the waveguide (include taper in the simulation, 2X longer) 61

GDS Grating coupler IMEC 62

GDS Import GDS: TE_Curved_Grating_coupler_right Layer 52, Silicon, edit to be 220 nm Layer 75, Silicon, edit to be 150 nm 63

3D FDTD Grating Coupler Mesh accuracy = 1 (several minutes) Mesh accuracy = 2 (several tens minutes) 64

3D FDTD Grating Coupler Core i7 ~$1000 linux Accuracy Time hh:mm:ss increasing accuracy 1 00:03:30 2 00:15:40 3 00:47:00 4 01:56:00 5 03:46:00 6 07:27:00 65