PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Similar documents
True Three-Dimensional Interconnections

An X band RF MEMS switch based on silicon-on-glass architecture

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

A Low-cost Through Via Interconnection for ISM WLP

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers

MEMS in ECE at CMU. Gary K. Fedder

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Nanofluidic Diodes based on Nanotube Heterojunctions

2007-Novel structures of a MEMS-based pressure sensor

Dry release fabrication and testing of SiC electrostatic cantilever actuators

Major Fabrication Steps in MOS Process Flow

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

Introduction to Microdevices and Microsystems

Wirelessly powered micro-tracer enabled by miniaturized antenna and microfluidic channel

MEMS-based Micro Coriolis mass flow sensor

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

Heterogeneous Technology Alliance. SOI MEMS Platform

InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor

(12) Patent Application Publication (10) Pub. No.: US 2002/ A1

Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Abstract Background

Through Glass Via (TGV) Technology for RF Applications

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

MEMS Processes at CMP

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

BROADBAND CAPACITIVE MICROMACHINED ULTRASONIC TRANSDUCERS RANGING

DRIE TECHNOLOGY: FROM MICRO TO NANOAPPLICATIONS

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Real time plasma etch control by means of physical plasma parameters with HERCULES

EE C245 ME C218 Introduction to MEMS Design

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Feature-level Compensation & Control

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

Drilling of Glass by Excimer Laser Mask Projection Technique Abstract Introduction Experimental details

Fabrication of plastic microlens array using gas-assisted micro-hot-embossing with a silicon mold

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

A Residual Gas Analyzer for Dry Etching Process

The Design and Realization of Basic nmos Digital Devices

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

Micro and Smart Systems

Sensors & Transducers Published by IFSA Publishing, S. L., 2016

High Power RF MEMS Switch Technology

STS Multiplex Deep Reactive Ion Etcher (DRIE)

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

Sensitivity Analysis of MEMS Based Piezoresistive Sensor Using COMSOL Multiphysics

Micro Analytical Instruments - A System Approach. Jörg Müller Institut für Mikrosystemtechnik

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid

Optical MEMS pressure sensor based on a mesa-diaphragm structure

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Fabrication of Feedhorn-Coupled Transition Edge Sensor Arrays for Measurement of the Cosmic Microwave Background Polarization

Supplementary Information

Design Rules for Silicon Photonics Prototyping

A capacitive absolute-pressure sensor with external pick-off electrodes

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Development of Nanoimprint Mold Using JBX-9300FS

Session 3: Solid State Devices. Silicon on Insulator

AFM Study of Hydrocarbon Thin Films

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

FinFET Devices and Technologies

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Chapter 3 Fabrication

SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL

CMP for More Than Moore

(12) Patent Application Publication (10) Pub. No.: US 2011/ A1

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY

Fabricating 2.5D, 3D, 5.5D Devices

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Electron optics column for a new MEMS-type transmission electron microscope

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

(12) Patent Application Publication (10) Pub. No.: US 2004/ A1

STUDY OF ARROW WAVEGUIDE FABRICATION PROCESS FOR IMPROVING SCATTERING LOSSES

IN-CHIP DEVICE-LAYER THERMAL ISOLATION OF MEMS RESONATOR FOR LOWER POWER BUDGET

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Precision microcomb design and fabrication for x-ray optics assembly

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE C245 ME C218 Introduction to MEMS Design Fall 2010

State-of-The-Art Dielectric Etch Technology

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

Flip Chip Bonding Using Sony Anisotropic Conductive Film (ACF) FP1526Y

Voltage Control for Reactive Sputtering: Improving Typical Sputter Rate while Dramatically Reducing Input Power Requirements

Plasma Etching for Failure Analysis of Integrated Circuit Packages. Laboratory of Electronic Components, Technology and Materials (ECTM)

Characterization of Silicon-based Ultrasonic Nozzles

A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Transcription:

Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL), Hitachi, Ltd. ABSTRACT Deep reactive ion etching (DRIE) of borosilicate glass and profile control of an etched groove are reported. DRIE was carried out using an anodically bonded silicon wafer as an etching mask. We controlled the groove profile, namely improving its sidewall angle, by removing excessively thick polymer film produced by carbonfluoride etching gases during DRIE. Two fabrication processes were experimentally compared for effective removal of the film: DRIE with the addition of argon to the etching gases and a novel combined process in which DRIE and subsequent ultrasonic cleaning in DI water were alternately carried out. Both processes improved the sidewall angle, and it reached 85 o independent of the mask-opening width. The results showed the processes can remove excessive polymer film on sidewalls. Accordingly, the processes are an effective way to control the groove profile of borosilicate glass. 1. INTRODUCTION Borosilicate glass, e.g., Coring 7740 Pyrex, Hoya SD-2, and Asahi Techno SW-3, is a common material for MEMS devices, and it is typically used for a fluidic device and wafer-level packaging (WLP) of an inertial sensor. The WLP usually has a borosilicate-glass cap wafer with cavities and through-holes formed by ultrasonic drilling or sandblasting. These technologies do not enable fabrication that is as precise as etching. Li et al. first presented their research on deep reactive ion etching (DRIE) of Pyrex [1], but not many studies have successfully used anisotropic deep dry etching of borosilicate glass, in contrast with silicon [2-4] and silica [5,6]. The reason for this difference is largely due to the quite low selectivity of an etching mask used for borosilicate glass. The selectivity of the mask, e.g., poly-silicon, tungsten silicide, and chromium, is around 20. This means that the necessary thickness of the mask film is calculated as 15 µm when a 300-µm-deep groove is formed. The stress has to be strictly controlled to successfully deposit a 15-µm-thick mask film. Furthermore, the mask needs to be precisely etched. These are the reasons that a borosilicate-glass cap wafer with a deep cavity and a through-hole fabricated by anisotropic etching has not been used for WLP. The aforementioned low selectivity of an etching mask for DRIE of borosilicate glass limits the groove depth. A novel fabrication process that uses an anodically bonded silicon wafer as an etching mask reportedly overcomes the low selectivity and achieves much deeper etching [7]. In the process, carbon-fluoride gases, i.e., and CHF 3, were used as etching gases. However, the drawbacks of this process were that the sidewall angle of the etched groove did not reach more than 80 o and that it depended on the mask-opening width. This was due to thick polymer film produced by carbon-fluoride plasma during DRIE. The film was thickly deposited on sidewalls and protected them against the plasma. DRIE needs to remove excessive polymer film to control the etched profile and to improve the sidewall angle. This paper reports on two fabrication processes for controlling the etching profile, namely for effectively removing excessive polymer film. The obtained experimental results are compared with previous ones [7]. 2. ETCHING EQUIPMENT AND CONDITIONS Dry-etching equipment, which is NE500 and is commercially made by ULVAC Inc., was used for the experiment. A schematic of the equipment is shown in Figure 1, and the DRIE conditions are listed in Table 1. The equipment consists of a setting room where a 4-inch wafer is placed and an etching chamber where inductively coupled plasma is generated. The wafer for etching was fixed by an electrostatic chuck., CHF 3, and argon (Ar) gases were introduced into the chamber for the etching. Oxygen (O 2 ) gas was used for plasma ashing, and helium (He) gas was used to cool the wafer. The variable valve automatically controlled the pressure inside the etching chamber during DRIE. This means the valve kept the etching pressure constant. The maximum antenna power was 1 kw, and the maximum bias power was 0.5 kw. In the experiment, the bias and antenna power were fixed at 400 and 600 W, respectively. In addition, the substrate temperature during the DRIE was kept as low as -20 o C.

Antenna power supply (13.56 MHz) Etching chamber ~ Substrate (4-inch wafer) Ar CHF 3 O 2 He MFC ICP Bias power supply ~ (13.56 MHz) TMP Variable valve RP Substrate electrode Setting room ICP: Inductively Coupled Plasma TMP: Turbo Molecular Pump MFC: Mass Flow Controller RP: Rotary Pump Figure 1: Dry-etching equipment for DRIE of borosilicate glass (type: NE500, made by ULVAC, Inc.). RP Table 1: Conditions for DRIE of borosilicate glass. Etching gas /Ar/CHF 3 Gas pressure 0.25-0.8 Pa Gas-flow rate 5-40 sccm Antenna power 600 W Bias power 400 W Substrate temperature -20 degrees Celsius 3. DRIE OF BOROSILICATE GLASS Figure 2 illustrates the fabrication process for DRIE of borosilicate glass. The process involved using a 200-µmthick silicon wafer as an etching mask. The silicon wafer was anodically bonded to a borosilicate-glass wafer. In step (a), a 200-µm-deep trench groove was formed on a 300-µm-thick (100)-oriented silicon wafer. Aluminum and silicon-dioxide films were used as an etching mask for DRIE of silicon. In step (b), the DRIE mask was removed, and the wafer was thermally oxidized. Subsequently, a silicon-dioxide film on the back surface was removed. In step (c), a through-hole was formed by etching the wafer with a TMAH solution. In step (d), the silicon wafer was anodically bonded to a borosilicateglass wafer in this atmosphere. A Pyrex glass wafer was used as borosilicate glass. The bonding was carried out at 300 o C and 300 V in air. In step (e), DRIE of borosilicate glass was implemented using a bonded silicon mask. In step (f), the silicon mask was removed by a KOH solution. 4. PROCESS FOR CONTROL OF GROOVE PROFILE We implemented two fabrication processes to control the groove profile formed using DRIE. One was DRIE with argon added to carbon-fluoride etching gases, i.e., Al/SiO 2 thin film (a) Silicon SiO 2 thin film Patterning of an Al/SiO 2 film DRIE of silicon (b) Through-hole Oxidization Removal of SiO 2 on the back (c) TMAH etching (d) (e) Silicon mask Anodic bonding DRIE of borosilicate glass (f) Removal of a silicon mask Figure 2: Fabrication process for DRIE of borosilicate glass. Polymer film Silicon mask 500 µm Figure 3: SEM image of borosilicate-glass groove etched by plasma (opening size: 1mm square; depth: 300 µm). and CHF 3 gases; the other was a novel combined process in which DRIE with a mixture of and argon gases and subsequent ultrasonic cleaning in DI water were alternately carried out. The former process means that the etching-gas composition was changed, compared with the previous conditions [7]. The latter process was carried out in step (e), shown in Figure 2. The wafer was cleaned after DRIE of a fixed time. This means that DRIE was again carried out after the ultrasonic cleaning until the depth of the groove reached approximately 300 µm. 5. RESULTS AND DISCUSSION Figure 3 shows an SEM image of a groove etched by plasma before removal of the polymer film and silicon mask. A thick polymer film was observed on the sidewalls of the groove. The typical cross-sectional profile after removal of the silicon mask is shown in

Figure 4: SEM image of borosilicate-glass groove etched by plasma after removal of a silicon mask by KOH etching (opening size: 1mm square; depth: 300 µm). Sidewall angle [deg.] 86 84 82 80 78 76 74 72 70 68 66 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 Gas pressure [Pa] Antenna power: 600 W Bias power: 400 W gas-flow rate: 10 sccm Ar gas-flow rate: 10 sccm CHF 3 gas-flow rate: 2.5 sccm Figure 5: Measured sidewall angle depending on gas pressure (antenna/bias power: 600/400 W; etching gas: mixture of, Ar, and CHF 3 gases). Figure 4 [7]. The sidewall angle of the groove did not reach more than 80 o. In this case, it was around 70 o due to the excessive protection of the sidewalls by the polymer film produced by the plasma during DRIE. Figure 5 shows the dependence of the measured sidewall angle on gas pressure. DRIE was carried out with etching gas composed of CHF 3,, and argon (Ar). In this case, the gas-flow rate of CHF 3,, and Ar was 2.5, 10, and 10 sccm, respectively. The figure shows that the necessary condition for obtaining the vertical sidewall is low gas pressure. Accordingly, we need to keep the pressure as low as possible during DRIE to increase the sidewall angle. Figure 6 shows the measured groove profile representing depth and difference in width between a mask opening and an etched groove. The results shown as +CHF 3 mean that DRIE was carried out with argon (Ar) gas added to carbon-fluoride etching gas of and CHF 3. The results of mean that the Depth of etched groove d [µm] 400 350 300 250 200 150 100 50 0 +CHF 3 +CHF 3 Si mask 0.0 0.2 0.4 0.6 0.8 1.0 d W Width of mask opening W [mm] Figure 6: Profiles of borosilicate-glass grooves etched by plasma, +CHF 3 plasma, and combined process ( conditions: antenna/bias power: 600/400 W; gas pressure: 0.3 Pa; gas-flow rate: 15 sccm; +CHF 3 conditions: antenna/bias power: 600/400 W; gas pressure: 0.28 Pa; gas-flow rate of, Ar, CHF 3 : 10 sccm,10 sccm, 2.5 sccm; DRIE conditions in combined process: antenna/bias power: 600/400 W; gas pressure: 0.25Pa; gas-flow rate of and Ar: 10 sccm, 10 sccm). Sidewall angle [deg.] 90 88 86 84 82 80 78 76 74 72 70 68 66 64 +CHF 3 w 40 30 20 10 0.0 0.2 0.4 0.6 0.8 1.0 Width of mask opening W [mm] Figure 7: Measured sidewall angle of borosilicate-glass grooves etched by plasma, +CHF 3 plasma, and combined process composed of DRIE with plasma and ultrasonic cleaning in DI water as a function of width of mask opening. combined process was carried out. In the process, the etching gas of DRIE was composed of both and Ar. The results obtained using DRIE with gas were also plotted for the sake of comparison. The figure shows that the depth of the etched groove depends on the width of the mask opening. In short, the etching rate gradually increases independent of the com- 0 Difference in width (w-w) [µm]

Figure 8: SEM image of borosilicate-glass groove etched by mixed gas composed of, Ar, and CHF 3 gases after removal of silicon mask by KOH etching (opening size: 1mm square; depth: 300 µm). position of the etching gas as the width increases. This phenomenon indicates aspect-ratio-dependent etching (ARDE) or RIE lag, which can be observed with DRIE of silicon. Concerning the increase in width, mixing argon with the carbon-fluoride gases caused a fairly large difference between the mask opening and the etched groove, compared with the results of gas. This means that the added argon gas contributed to physical etching and that lateral etching slightly advanced. However, the difference in width was kept to less than 12 µm when the depth was around 300 µm. If the depth is less than 300 µm, the difference in width will be much smaller than 12 µm. Figure 7 shows the dependence of the measured sidewall angle on the width of the mask opening. With gas, the sidewall angle gradually increased and reached its peak at 80 o as the width decreased, but with the mixed gases composed of, Ar, and CHF 3, the obtained angle was approximately 80 o, independent of the width of the mask opening. When the width of the mask opening was 1.0 mm, the sidewall angle increased by approximately 8.0 o. Accordingly, mixing argon with carbon-fluoride etching gases can improve the sidewall angle. In other words, argon gas needs to be added to control the groove profile. In addition, the combined process resulted in a sidewall angle of 85 o, independent of the width. This means the combined process leads to an outstanding improvement in the sidewall angle. To summarize, our fabrication processes can improve the profile and control by removing excessive polymer film on the sidewall. Figure 8 shows a cross-sectional view of a groove etched with a mixture of, Ar, and CHF 3 gases. In addition, Figure 9 shows a cross-sectional view of a groove formed using the combined process. The sidewalls in Figures 8 and 9 were slightly inclined off the vertical wall, but the sidewall angle obviously improved. In short, Figure 9: SEM image of borosilicate-glass groove fabricated by combined process after removal of silicon mask by KOH etching (opening size: 1 mm square; depth: 300 µm). the sidewall angle shown in Figures 8 and 9 is larger than that in Figure 4. Our fabrication processes effectively contribute to removing excessive polymer film on the sidewall. Consequently, we succeeded in forming a 300-µm-deep groove with a sidewall angle of 85 o using our process. 6. CONCLUSION Profile control of a borosilicate-glass groove formed by deep reactive ion etching (DRIE) was successfully carried out by effectively removing excessive polymer film produced during DRIE. DRIE with argon added to carbon-fluoride etching gases and a combined process composed of DRIE and ultrasonic cleaning in DI water are effective ways of removing the polymer film. The processes can fabricate a groove with a maximum sidewall angle of 85 o independent of its opening width. 7. REFERENCES [1] X. Li, T. Abe, and M. Esashi, Deep reactive ion etching of Pyrex glass, Technical Digest of the Thirteenth IEEE Annual International Conference on Micro Electro Mechanical Systems (MEMS2000), Miyazaki, Japan, 2000, pp. 271-276. [2] J. K. Bhardwaj and H. Ashraf, Advanced silicon etching using high density plasmas, Proceedings of SPIE, Vol. 2639, 1995, pp. 224-233. [3] T.Pandhumsoporn, et al., High etch rate, deep anisotropic plasma etching of silicon for MEMS fabrication, Proceedings of SPIE, Vol. 3328, 1998, pp. 93-101. [4] J. Ohara, et al., A new deep reactive ion etching process by dual sidewall protection layer, Technical Digest of the Thirteenth IEEE Annual International Conference on Micro Electro Mechanical Systems (MEMS

2000), Miyazaki, Japan, 2000, pp. 277-282. [5] F. Shimokawa, New dry etching system using highdensity plasma source for an optical microelectromechanical system, Technical Digest of IEEE/LEOS International Conference on Optical MEMS and Their Applications (MOEMS97), Nara, Japan, 1997, pp. 74-79. [6] M. Pavius, et al., Profile angle control in SiO 2 deep anisotropic dry etching for MEMS fabrication, Technical Digest of 17th IEEE International Conference on Micro Electro Mechanical Systems (MEMS2004), Maastricht, the Netherlands, 2004, pp. 669-672. [7] T. Akashi and Y. Yoshimura, Deep reactive ion etching of borosilicate glass using an anodically bonded silicon wafer as an etching mask, Journal of Micromechanics and Microengineering, Vol. 16, 2006, pp. 1051-1056.