=request = completion of last access = no access = transaction cycle. Active Standby Nap PowerDown. Resyn. gapi. gapj. time

Similar documents
A Colored Petri Net Model of Simulation for Performance Evaluation for IEEE based Network

Dynamic Power Management in Embedded Systems

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

Low Power Design of Successive Approximation Registers

An Overview of Static Power Dissipation

Dynamic Voltage Scaling and Power Management for Portable Systems

DESIGN CONSIDERATIONS FOR SIZE, WEIGHT, AND POWER (SWAP) CONSTRAINED RADIOS

Real Time User-Centric Energy Efficient Scheduling In Embedded Systems

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System

PERFORMANCE MODELLING OF RECONFIGURABLE ASSEMBLY LINE

Towards Integrated System and Software Modeling for Embedded Systems

How Data Center Size Impacts the Effectiveness of Dynamic Power Management

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Analytical evaluation of extended DRX with additional active cycles for light traffic

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Feasibility and Benefits of Passive RFID Wake-up Radios for Wireless Sensor Networks

Performance Analysis of Finite Population Cellular System Using Channel Sub-rating Policy

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

POWER GATING. Power-gating parameters

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Improved Model Generation of AMS Circuits for Formal Verification

Interconnect-Power Dissipation in a Microprocessor

A Novel Approach of Compressing Images and Assessment on Quality with Scaling Factor

Keywords- Fuzzy Logic, Fuzzy Variables, Traffic Control, Membership Functions and Fuzzy Rule Base.

A Dual-V DD Low Power FPGA Architecture

Evaluation of CPU Frequency Transition Latency

A Compact Design of 8X8 Bit Vedic Multiplier Using Reversible Logic Based Compressor

LDPC Decoding: VLSI Architectures and Implementations

Research Statement. Sorin Cotofana

Development of Practical Software for Micro Traffic Flow Petri Net Simulator

Cognitive Radio Spectrum Access with Prioritized Secondary Users

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Leakage Power Reduction by Using Sleep Methods

Available online at ScienceDirect. Anugerah Firdauzi*, Kiki Wirianto, Muhammad Arijal, Trio Adiono

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Discussion on the Deterministic Approaches for Evaluating the Voltage Deviation due to Distributed Generation

Static Energy Reduction Techniques in Microprocessor Caches

The challenges of low power design Karen Yorav

ABSTRACT 1. INTRODUCTION

Performance Analysis of Energy Consumption of AFECA in Wireless Sensor Networks

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

4.5. Latency in milliseconds Number of Shutdowns

Lecture on Sensor Networks

An Empirical Study of Harvesting-Aware Duty Cycling in Sustainable Wireless Sensor Networks

Chapter 1 Basic concepts of wireless data networks (cont d.)

Exercise 2: Hodgkin and Huxley model

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

Experimental Evaluation of the MSP430 Microcontroller Power Requirements

Lab 6: Exploring the Servomotor Controller Circuit

Low-Power Digital CMOS Design: A Survey

II. Previous Work. III. New 8T Adder Design

Nonuniform multi level crossing for signal reconstruction

A Direct Approach for Coupling Matrix Synthesis for Coupled Resonator Diplexers

Attack-Proof Collaborative Spectrum Sensing in Cognitive Radio Networks

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

EE 382C EMBEDDED SOFTWARE SYSTEMS. Literature Survey Report. Characterization of Embedded Workloads. Ajay Joshi. March 30, 2004

A Comparative Study of Quality of Service Routing Schemes That Tolerate Imprecise State Information

Final Report: DBmbench

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

Implementation of dual stack technique for reducing leakage and dynamic power

A Survey of the Low Power Design Techniques at the Circuit Level

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

WIRELESS cellular networks feature adaptive modulation

Evaluation of Mobile Ad Hoc Network with Reactive and Proactive Routing Protocols and Mobility Models

Static Power and the Importance of Realistic Junction Temperature Analysis

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona

A Static Power Model for Architects

Antonis Panagakis, Athanasios Vaios, Ioannis Stavrakakis.

POWER consumption has become a bottleneck in microprocessor

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Improvement in reliability of coverage using 2-hop relaying in cellular networks

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones

RS-485 Transmit Enable Signal Control Nigel Jones

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

nanodpp datasheet I. FEATURES

Improving Reader Performance of an UHF RFID System Using Frequency Hopping Techniques

Leakage Power Minimization in Deep-Submicron CMOS circuits

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

A Bottom-Up Approach to on-chip Signal Integrity

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c

Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology

FAST ADAPTIVE DETECTION OF SINUSOIDAL SIGNALS USING VARIABLE DIGITAL FILTERS AND ALL-PASS FILTERS

Tips for making accurate rise / fall time measurements for radar signals

Performance Analysis of Transmissions Opportunity Limit in e WLANs

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

precharge clock precharge Tpchp P i EP i Tpchr T lch Tpp M i P i+1

nanomca 80 MHz HIGH PERFORMANCE, LOW POWER DIGITAL MCA Model Numbers: NM0530 and NM0530Z

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

MECHANICAL DESIGN LEARNING ENVIRONMENTS BASED ON VIRTUAL REALITY TECHNOLOGIES

International Journal of Advanced Research in Computer Science and Software Engineering

Modeling the impact of buffering on

SSD Firmware Implementation Project Lab. #1

Transcription:

Modeling of DRAM Power Control Policies Using Deterministic and Stochastic Petri Nets Xiaobo Fan, Carla S. Ellis, Alvin R. Lebeck Department of Computer Science, Duke University, Durham, NC 27708, USA fxiaobo,carla,alvyg@cs.duke.edu Abstract. Modern DRAM technologies offer power management features for optimization between performance and energy consumption. This paper employs Petri nets to model and evaluate memory controller policies for manipulating multiple power states. The model has been validated against the analysis and simulation used in our previous work. We extend it to model more complex policies and our results show that DRAM chip should always immediately transition to standby and never transition to powerdown provided that it exhibits typical exponential access behavior. Keywords: Control Policy, DRAM, Memory Controller, Modeling, Petri Nets 1 Introduction Energy efficiency is becoming increasingly important in system design. It is desirable both from the point of view of battery life in mobile devices, and from environmental and economical points of view in all computing platforms. With the introduction of low power processors, novel displays, and systems without hard disks, main memory is consuming a growing proportion of the system power budget. Modern DRAM technologies are making memory chips with multiple power states to offer power management capability. Usually there is an Active state to service requests and several degraded states (Standby, Nap and P owerdown) with decreasing power consumption but increasing time to transition back to Active. Wemust design a power control policy to manipulate these states effectively to improve energy efficiency without sacrificing too much performance. Our work to date has adhered relatively closely to the specifications of RDRAM [4], thus giving our new power aware memory management ideas the credibility of being based on actual hardware. However, our experience with this one design point suggests that alternatives to the current set of RDRAM power states may offer better management possibilities. There is a large space of potential DRAM power states and associated memory controller policies to explore. Identifying the most productive design points is valuable to influence future power aware DRAM development. Since the design space is so large, it is impractical to use the detailed simulations. Therefore, we need models to allow rapid exploration of the space. As part

of our previous work we investigated memory controller policies for manipulating DRAM power states in cache-based systems [3]. We developed an analytic model that approximates the idle time of DRAM chips using an exponential distribution, and validated our model against trace-driven simulations. Our results show that, for our benchmarks, the simple policy of immediately transitioning a DRAM chip to a lower power state when it becomes idle is superior to more sophisticated policies that try to predict DRAM chip idle time [2]. Although this model served our purpose, it is not easily extended to more power states. Therefore, we propose developing a Petri net model of DRAM power states. The first step is to create a model that mimics our previous analytic model for validation. Then we can extend it to more power states, finally using it to explore the space. We use the Petri net toolkit, DSPNexpress [6], which supports graphical development and performance analysis of deterministic and stochastic Petri nets. In this paper, we first establish a DSPN (Deterministic and Stochastic Petri Nets) model for the 2-state control policy we used in [3]. We use DSPNexpress [6] to solve the model. In [3] the analysis is done by assuming exponentially distributed gap the idle time between clustered accesses, while here the model is driven by an exponentially distributed inter-access time. By measuring gap from the DSPN model, we verify that it is equal to the exponentially distributed inter-access time. From the DSPNexpress solution, we can derive other results of our interest. Using the same DRAM configuration, all results are consistent with those in [3]. Then we extend this model to 4 states and explore other threshold-based policies. Based on the available DRAM configuration, we have the following conclusions for typical exponential memory access patterns: 1) a simple Active to Nap immediate transition policy is the best 2-state policy if the average gap is large enough, 2) chips should always transiton to Standby if it is available, 3) chips should not transition to P owerdown during execution. The rest of this paper is organized as follows. In the next section, weintroduce how our control policy works on a multi-state memory chip and develop a DSPN model for the 2-state power control policy. Section 3 discusses how to derive performance and energy data from DSPN solutions and validates these results against probability based analysis. In Section 4, we extend the model to 4 states and investigate the effect of two other thresholds on memory performance and energy consumption. Finally, Section 5 concludes and describes future work. 2 Methodology Figure 1 is an example illustrating how a 2-state control policy works. In this case, we use Active and Nap power states. When a memory chip has any outstanding access, it stays in the Active state. After the last access completes and before the start of the next access, the memory chip is idle, and we denote this interval as the gap. If the gap is greater than a threshold value, the chip transitions down to the low power state, Nap, otherwise it remains in Active. This is a typical state/event dynamic system which can be modeled by Petri

* =request = completion of last access = no access = transaction cycle Active Standby Nap PowerDown tacc twait>th tacc twait<th tacc twait>th * * * Resyn gapi gapj time Fig. 1. Power Management net. Each state of a memory chip (i.e. Active, Nap, etc.) can be mapped to a marking in the Petri net model. Each state change or transition (i.e. power degradation, resynchronization, etc.) can be described by a Petri net transition. By associating timing information with these transitions, we can model system performance. Furthermore, knowing performance results and power consumption of each state and transition, we can evaluate the system's energy efficiency. Due to space limitations, we skip the background knowledge about Petri nets and Stochastic Petri nets. Detailed information can be found in [10], [7], [8], [1] and [9]. Based on this 2-state control policy, we can develop a Petri net model, as shown in Figure 2. There are three situations a memory chip could be in when a request arrives: 1) in the Active state and currently servicing other requests, 2) in the Active state and idle-waiting, or 3) in the Nap state. In the first case, it only takes a small amount of additional time to service this request because most DRAM technologies offer bursting" optimizations and we assume requests are serviced by independent internal DRAM banks. In the Petri net model, we use the place labelled active to represent this state and a deterministic transition service with delay, servicedelay, to represent a service of the request. In the second case, represented by the place labelled idle, this initial access incurs delay to initiate the access. We use the transition activate and its delay activatedelay to depict the initial access cost. In the last case, a resynchronization cost is incurred to transition out of the low power state, nap, denoted by transition resync2 with resync2delay as its cost. After completing the last access, the memory chip goes to idle through the immediate transition rest, and further into nap through a timed transition sleep with delay equal to the waiting threshold, napt h. Both these two degrading transitions can be disabled or interrupted by an inhibitor arc from place buffer, where outstanding requests are buffered. It means that when there is an outstanding request, if the chip is in active, it stays in active servicing the request; if it is in idle and waiting for the threshold, the timer is canceled and the transition activate will fire after activatedelay.

service servicedelay request active arrival arrivaldelay 8 rest activate 1 activatedelay buffer idle sleep napth resync2 resync2delay nap Fig. 2. DSPN model for 2 state power control policy Based on analysis from our previous studies, we use an exponentially distributed memory access pattern to drive this power management model. The transition arrival is an exponential stochastic transition with mean firing delay arrivaldelay. Since we assume all requests come from a cache hierarchy with 8 outstanding misses, there is an inhibitor arc with multiplicity 8 from buffer to arrival to model this aspect. We allocate one token in place request to generate accesses and one token in the set of places factive, idle, napg to simulate power states. Table 1 are the parameter values for the multi-state DRAM which we use to do all the following computation. Transitions resync1 and resync3 are going to be used later in the 4-state model. 3 Validation After running the DSPNexpress steady-state solution, we obtain the throughput for all deterministic and stochastic transitions, and average token number for all places. We use X T to denote the throughput of transition T and N P to denote the average token number of place P. Then we can derive other performance

Power Power Time State (mw) (ns) Active P a = 300 servicedelay=60 Standby P s = 180 - Nap P n =30 - Powerdown P p =3 - Transition activate P a = 300 activatedelay =60 resync1 P s!a = 240 resync1delay =6 resync2 P n!a = 165 resync2delay =60 resync3 P p!a = 152 resync3delay = 6000 Table 1. DRAM Power State and Transition Values and power consumption values. Since we define gap as the time interval between two clustered accesses, we want to determine the period of each gap cycle and the average gap. Then, for each gap cycle, we can compute how much time is spent in each state and how much energy is consumed. Because each firing of transition activate terminates the current gap cycle and creates a new gap cycle, the mean period of the gap cycle is T total = 1 X activate Then we can compute the time spent on each place (all the following computations are for one gap cycle with mean period T total ) T active = T total N active T idle = T total N idle T nap = T total N nap Since the time elapsed in place nap also includes time spent on transition resync2 where the power consumption is different from that in the nap state, we need to determine how much time is spent on resynchronization: T resync2 = T total X resync2 resync2delay Therefore the power consumption is (assuming P a, P n and P n!a are power consumptions for the active power state, nap power state and resynchronization, respectively) E total = P a (T active + T idle )+P n (T nap T resync2)+p n!at resync2 Finally we have the per gap energyffldelay product and the relative product (compared to the always-active policy) e ffl d = E total T total (e ffl d) =E total T total P a (T total T resync2) 2

(e d) (mw ns 2 ) 5e+06 0-5e+06-1e+07-1.5e+07-2e+07-2.5e+07-3e+07-3.5e+07-4e+07 napth=0 napth=50 napth=100 napth=0 napth=50 napth=100-4.5e+07 0 50 100 150 200 250 300 350 400 Gap (ns) Fig. 3. (e ffl d) computed from analysis and modeling (lower is better) Before solving the model and comparing it with the analytical results in [3], there is one more problem we need to deal with. The memory access pattern we use to drive this model, as shown in Figure 2, follows exponential distribution on the inter-access-arrival time instead of the inter-clustered-access idle time (gap), which we used to develop the analytical model in [3]. In theory we can prove that if inter-access-arrival time follows exponential distribution, gap should follow the same distribution. As we can see from Figure 1, gap is the interval between the completion of the last access and the arrival of next access. Because of the memoryless property of exponential distribution, the time lapsed from the arrival to the completion of the last access doesn't affect the probability of the next access's arrival. Therefore gap follows the same distribution as inter-arrival time. To verify this, we can also compute the average gap μ from the DSPN model using one of the following equations: μ = T total T active T resync2 activatedelay μ = T idle activatedelay + T nap T resync2 In fact each gap value computed from above equation is equal to the corresponding arrivaldelay value used in the model. Using the same DRAM parameters as in our previous analysis [3], we run DSPNexpress to obtain results for this DSPN model. These results are shown in Figure 3, as the points, together with the solid lines derived from our previous analysis. As we can see, they exactly match, validating the DSPN based modeling and probability based analysis against each other. Recall, our probability based analysis was validated against simulations [3]. Because the DSPN model is much easier to develop and extend than mathematical analysis, we propose to use it to investigate more complex policies and to explore a larger parameter space. In particular, we plan to explore the impact

of: 1) changing the threshold values for transitioning to lower power states, 2) changing the power consumed during each DRAM power state, 3) changing the power consumed and delay incurred to transition between power states, and 4) changing the number of available power states. This paper only covers the first case. 4 Model Extension In the previous section, we validated the DSPN model against an analytical model, which is validated in [3] against simulation. In this section, we will extend the 2-state model to 4 states and investigate how the other two thresholds affect energy efficiency. Figure 4 is the DSPN model for a 4-state power control policy. We add two more low power states standby and powerdown. When the memory chip is in idle for time standbyt h, it first transitions down to standby. Then it transitions down to nap if it stays in standby for napt h, and further down to powerdown if it stays in nap for powerdownt h. If a memory access arrives during any of these downward transitions, the transiton is disabled by one of the three inhibitor arcs. Then the relevant upward resynchronization and/or activation is fired. This is consistent with the real hardware. Since we already know the immediate active to nap transition is the best 2-state policy when average gap is greater than 75ns and no nap transition should be made when average gap is less than 75ns, we want to know what the appropriate standby threshold should be in both of the two cases. Therefore, for memory access patterns with average gap greater than 75ns, we use 0 as napt h and, for those with average gap less than 75ns, we use infinity. In order to avoid the effect of the powerdown transition, we set powerdownt h to infinity. Then we observe how the energyffldelay product changes as we vary standbyt h. Figure 5 shows the relative energyffldelay product for different standbyt h values when gap increases from 15ns to 375ns. Unlike the nap-based transition, zero threshold is always the best even when the gap is very small. Therefore even with a very high cache miss rate the memory chip should always transition down to standby right after the completion of outstanding accesses. For contrast only when the cache hierarchy generates high enough hit rate so that the average gap is large enough (e.g. 75ns) should the memory chip transition down to nap immediately. This justifies the fact that standby is the default power state for Rambus DRAM when the chip is idle. Knowing standbyt h should always be 0 and napt h should be 0 when gap is greater than 75ns, we next want to know what powerdownt h should be for our exponential memory access pattern. P owerdown is an extreme state in that memory chip consumes very low power (3mW) and it incurs huge delay toget out of the state (6000ns). We run the model with gap starting from 75ns, because this is the boundary beyond which transitioning down to nap starts giving benefit and powerdown becomes reachable. Figure 6 shows the relative energyffldelay product for differ-

service servicedelay request arrival active arrivaldelay 8 rest 1 activate activatedelay buffer idle T6 standbyth resync1 resync1delay standby T7 resync2 resync2delay napth nap powerdownth T8 resync3 resync3delay powerdown Fig. 4. DSPN model for 4-state power control policy

5e+06 0-5e+06 standbyth=00 standbyth=10 standbyth=20 standbyth=50 (e d) (mw ns 2 ) -1e+07-1.5e+07-2e+07-2.5e+07-3e+07-3.5e+07-4e+07 0 50 100 150 200 250 300 350 400 Gap (ns) Fig. 5. (e ffl d) computed from 4-state model for different gap and standbyt h values ent powerdownt h values. We didn't include results for powerdownt h values less than 500ns because they are out of the graph range, making other parts undistinguishable. When powerdownt h is small (less than 2000ns), the chip could more easily get to the powerdown state and incur very long resynchronization delay when an access comes. Therefore it performs much worse than the always- Active policy. The larger the average gap, the bigger the probability that it goes to powerdown and the more penalty. When powerdownt h is large enough (greater than 5000ns), the probability of getting into powerdown becomes so small that the policy is similar to the immediate nap transition. The larger the powerdownt h, the smaller the probability and the better the policy performs. Therefore when the memory access pattern imposed on one memory chip exhibits exponential or close to exponential distribution and has average gap on the order of 100ns, we need to set an infinity powerdown threshold to prevent any powerdown transition. The above conclusion does not hold on the unoccupied chips which are intentionally created by some page allocation or page movement algorithms [5]. The gaps of these idle chips are usually several magnitude larger than those of the "active" chips we have studied above and do not follow an exponential distribution anymore. Hence in those cases we still need a powerdown threshold to shut down the "idle" chips to get maximum energy efficiency. 5 Conclusion Power management features provided by modern DRAM technologies can be exploited to develop power efficient memory systems. Petri net is a powerful tool that can be used to model and evaluate different memory power control policies.

1.2e+08 1e+08 8e+07 powerdownth=500 powerdownth=1000 powerdownth=2000 powerdownth=5000 powerdownth=10000 (e d) (mw ns 2 ) 6e+07 4e+07 2e+07 0-2e+07-4e+07 50 100 150 200 250 300 350 400 Gap Fig. 6. (e ffl d) computed from 4-state model for different gap and powerdownt h values In this paper, we consider a 2-state control policy model, derive our energy efficiency metric, and validate the model against probabilistic analysis. Then we extend our model to 4 states and investigate the effects of these additional states on energy efficiency. The results reveal that memory chips should always immediately transition to standby, and for chips that exhibit exponential-like access pattern without extremely long average gap values they should not transition to powerdown state. All our studies to date are investigations of the appropriate control policy based on a certain available DRAM platform which provides power management features. As future work, in order to obtain some valuable power aware memory design points, we plan to explore the design space of alternative potential DRAM power/performance features (i.e., the number of available power states, the power consumption for eachpower state, the power consumption and delay for transiton between power states, etc.). Acknowledgments This work supported in part by NSF Grants CCR-0082914, EIA-99-72879, EIA- 99-86024, NSF CAREER Award MIP-97-02547, Duke University, and equipment donations from Intel and Microsoft. We thank Professor Christoph Lindemann and his group at the University of Dortmund for providing the DSPNexpress software. References 1. G. Chiola, M. Ajmone Marsan, G. Balbo, and G. Conte. Generalized stochastic petri nets: A definition at the net level and its implications. IEEE Transactions

on Software Engineering, 19(2):89 107, February 1993. 2. V. Delaluz, M. Kandemir, N. Vijaykrishnan, A. Sivasubramaniam, and M.J. Irwin. DRAM Energy Management Using Software and Hardware Directed Power Mode Control. In HPCA 2001, January 2001. 3. Xiaobo Fan, Carla S. Ellis, and Alvin R. Lebeck. Memory controller policies for dram power management. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), August 2001. 4. D. Lammers. IDF: Mobile Rambus spec unveiled. EETimes Online, February 1999. //www.eetimes.com/story/oeg19990225s0016. 5. Alvin R. Lebeck, Xiaobo Fan, Heng Zeng, and Carla S. Ellis. Power aware page allocation. In Proceedings of Ninth International Conference on Architectural Support for Programming Languages and Operating System (ASPLOS IX), November 2000. 6. Christoph Lindemann. Performance Modelling with Deterministic and Stochastic Petri Nets. John Wiley and Sons, 1998. 7. M. Ajmone Marsan, G. Balbo, and G. Conte. Modeling with Generalized Stochastic Petri Nets. J. Wiley, 1995. 8. M. Ajmone Marsan and G. Chiola. On petri nets with deterministic and exponential transition firing times. In Proceedings of the 7th European Workshop on application and Theory of Petri Nets, pages 151 165, June 1986. 9. T. Murate. Petri nets: properties, analysis, and applications. Proceedings of IEEE, 77(4):541 580, April 1989. 10. J. L. Peterson. Petri Net Theory and the Modeling of Systems. Prentice-Hall, 1981.