Comparative Analysis of Multiplier in Quaternary logic

Similar documents
Design of Gates in Multiple Valued Logic

Implementation of Efficient Adder using Multi Value Logic Technique

Design Low Power Quaternary Adder Using Multi-Value Logic

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design of high performance Quaternary adders

Design of High Speed Hybrid Sqrt Carry Select Adder

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

II. QUATERNARY CONVERTER CIRCUITS

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

Multi-Valued Logic Concept for Galois Field Arithmetic Logic Unit

International Journal Of Scientific Research And Education Volume 3 Issue 6 Pages June-2015 ISSN (e): Website:

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Design and Analysis of CMOS Based DADDA Multiplier

Design and Implementation of Complex Multiplier Using Compressors

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

Design of Arithmetic Logic Unit using Complementary Metal Oxide Semiconductor Galois Field

Gdi Technique Based Carry Look Ahead Adder Design

High Performance Low-Power Signed Multiplier

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Static Energy Recovery Adiabatic Logic

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

Design of Low Power CMOS Ternary Logic Gates

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

Design of an optimized multiplier based on approximation logic

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Modelling Of Adders Using CMOS GDI For Vedic Multipliers

Performance Analysis Comparison of a Conventional Wallace Multiplier and a Reduced Complexity Wallace multiplier

Faster and Low Power Twin Precision Multiplier

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder

Design and Analyse Low Power Wallace Multiplier Using GDI Technique

Performance analysis of different 8-bit full adders

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

Design of an Energy Efficient 4-2 Compressor

Comparison of Multiplier Design with Various Full Adders

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Multiplier and Accumulator Using Csla

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

AN ADVANCED VLSI ARCHITECTURE OF PARALLEL MULTIPLIER BASED ON HIGHER ORDER MODIFIED BOOTH ALGORITHM

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Design and Simulation of Low Power and Area Efficient 16x16 bit Hybrid Multiplier

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

International Journal for Research in Applied Science & Engineering Technology (IJRASET) Design A Power Efficient Compressor Using Adders Abstract

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier

CHAPTER 1 INTRODUCTION

Review Paper on an Efficient Processing by Linear Convolution using Vedic Mathematics

Design and Implementation of Pipelined 4-Bit Binary Multiplier Using M.G.D.I. Technique

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

IJCAES. ISSN: Volume III, Special Issue, August 2013 I. INTRODUCTION

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

Design Analysis of 1-bit Comparator using 45nm Technology

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

Keywords , IJARCSSE All Rights Reserved Page Lecturer, EN Dept., DBACER,

II. Previous Work. III. New 8T Adder Design

An Optimized Design for Parallel MAC based on Radix-4 MBA

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

VLSI IMPLEMENTATION OF ARITHMETIC OPERATION

ADVANCES in NATURAL and APPLIED SCIENCES

POWER DISSAPATION CHARACTERISTICS IN VARIOUS ADDERS

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India

Design of 64-Bit Low Power ALU for DSP Applications

International Journal of Advance Engineering and Research Development

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

Design and Analysis of CMOS based Low Power Carry Select Full Adder

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER

A Review on Different Multiplier Techniques

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Performance Analysis of Multipliers in VLSI Design

Design and Implementation of High Speed Area Efficient Carry Select Adder Using Spanning Tree Adder Technique

Transcription:

IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 3, Ver. I (May - Jun. 2015), PP 06-11 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparative Analysis of Multiplier in Quaternary logic Shweta Hajare 1, Dr.P.K.Dakhole 2 1 (Research scholar:dept. of Electronics Engg,Yeshwantrao Chavan College of Engg Nagpur, India) 2 (Professor:Dept. of Electronics Engg Yeshwantrao Chavan College of Engg Nagpur, India) Abstract : Multiple Valued Logic (MVL) has some important benefits such as increased data density, increased computational ability, reduced dynamic power dissipation Therefore with the help of Multiple Valued Logic (MVL) we have designed two quaternary multiplier architecture. The partial products in the multiplier are designed with quaternary voltage mode circuits. Each multiplier architecture is designed with two methods. The performance of two quaternary multiplier architecture is then compared based on Energy delay product (EDP) & Power delay product (PDP). Comparison of these multiplier is done based on the analysis for power delay & area Keywords - Multiple Valued Logic (MVL), Quaternary logic, NMIN, NMAX I. Introduction In many practical application such as digital signal Processing, arithmetic processor, FIR filter multiplier plays important roll. In case of digital system performance is generally evaluated by the performance of the multiplier. Many researchers with advances in technology, have tried to design multipliers which offer high speed, low power consumption, regularity of layout. Arithmetic processors constitute another important example, where multiple-valued data representation provides additional conceptual and implementational flexibility. Alternatively, MV, for multiple-valued view, presents the system as multiple-valued or as having multiple-valued segments, which in turn (internally) may have binary parts. Researchers in VLSI design, mainly concentrate on area, speed and power dissipation. The type of high speed multipliers are Booth multiplier, Parallel multiplier, Braun multiplier. In the conventional multiplier, the number of partial products to be added are determined by the number of bits the multiplier or multiplicand being used. As the number of bits in the multiplicand or the multiplier increase, the longer time it takes to produce the product. Digital multiplication is the process where two binary numbers, the multiplier and the multiplicand are combined into the result forming a series of bit shift and bit additions. There are different types of multipliers available depending upon the application in which they are used. Conventional array multiplier is based on regular full adder in which multiplication is obtained by partial product method. In a digital system an array multiplier involves the parallel multiplication, which is done in the following three steps: 1. Generation of partial product (PP) bits. 2. The accumulation of partial product (PP) bits into two rows. 3. The computation of final product using Full adder. Partial product bits of the multiplicand and multiplier are produced by PP (partial product) generation. PP reduction is used to compress the partial product bits to two. In Multiplication product of two bits produces an output which is twice that of the original bit. For reduction of area cost it is needed to truncate the partial product bits to the required precision.this paper is organized as first part consist of basic circuit of quaternary logic which are used to design multiplier. Second part is based on the designing of multiplier architecture. Third part is based on the analysis of multiplier architecture & conclusion. II. Background In recent years, Multiple-valued Logic (MVL) circuits have been attracting researchers in VLSI technology, the reason behind the fact that some synonymous with many-valued logic (MVL) is the study of theory and application of logics, where the classical truth values true and false are replaced by infinitely many values. There are mainly two kinds of MVL circuits based on MOS technology, namely the current mode MVL circuits and the voltage-mode MVL circuits. A quaternary (radix-4) logic system allows for the use of relatively simple encoding/decoding circuits to be employed for interfacing to binary logic since radix 4=2 2. In quaternary -valued logic signals easily interface with the binary world they may be decoded directly into their two-binary-digit equivalent with MVL.Many logical and arithmetic functions have been efficiently implemented with fewer operations, gates, transistors, signal lines, etc are required. In binary logic {1,2}, the conversion from binary to binary coded decimal or the inverse, could be done easily since we behave only with DOI: 10.9790/4200-05310611 www.iosrjournals.org 6 Page

simple numbering set {0,1}. In higher valued logic [3,4,5] such as ternary {0,1,2}, quaternary {0,1,2,3} and quinary {0,1,2,3,4}, the matter of conversion becomes different and done in a very difficult way. The base radix for the work is Quaternary logic (radix-4-valued) quaternary logic offers all the benefits of MVL such as reduced area due to signal routing reduction along with the important advantage of being able to easily interface with traditional binary logic circuits.[2][3] III. Quaternary Circuit Design This circuit is a CMOS circuit operates with four voltage levels corresponding to 0V and other three power supply lines of 1V, 2V and 3V. 3.1 NMIN gate In quaternary logic, binary NAND gate is replaced by NMIN gate & AND gate is replace by MIN gate [4][12]. The MIN operation sets the output of the MIN circuit to be the lowest value of inputs. MIN gate is equal to AND gate in binary. NMIN gate is not of MIN gate. In binary AND gate, minimum of two inputs of the gate are chosen at the output. NAND gate is not of AND gate. Similarly in quaternary logic gates minimum of two inputs are chosen for MIN gate. NMIN circuit is combination of the inverter and a common binary AND circuit. 3.2 NMAX/MAX Gate In quaternary logic, binary NOR gate is replaced by NMAX gate & OR gate is replaced by MAX gate [4][12]. NMAX gate is not of MAX gate. The MAX operation sets the output of the MAX circuit to be the largest value of inputs. OR gate in binary is equal to MAX gate in quaternary. NMAX gate is not of MAX gate circuit. The MAX gate is a circuit of multiple inputs and sets the output in the higher value of all entries. NMAX circuit is combination of the inverter and a common binary OR circuit.max circuit is by adding one inverter at the output of NMAX gate. IV. Quaternary Multiplier Architecture Basic multiplication can be realized by the shift add algorithm by generating partial products and adding successive properly shifted partial products. Thus multiplication is proportional to the number of partial products to be added [1]. In all multiplier circuits, two types of adder cells are present. They are half adder and full adder. Output of the multiplier is shown in Table 1.The high speed techniques is essential for the adder to work in high speed, implementing the adder in any one of the way. Delay of the circuit depends on the number of inversion levels. Circuit size depends on the number of transistors in the circuit. Multiplication is the one of the most important function carried by ALU. To carry out mathematical high speed operations, most advanced digital systems incorporate a parallel multiplication unit. Multipliers are used in arithmetic logic unit in case of microprocessor. A digital signal processing system requires multipliers to implement algorithms such as convolution and filtering. For the design of multipliers three important criteria are the chip area, speed of computation and power dissipation. 4.1 Quaternary Serial Multiplier Serial multiplication is the process of computation of the partial product and summing the partial product together. Serial multiplier are simple in architecture because both the operand enter serially. Quaternary ripple carry adder based multiplier consist of multiplicand SISO shift register, multiplier SISO shift register, product SISO shift register, single digit multiplier, an array of HA cells configured in a carry ripple organization. The speed of operation of serial multiplier is slow because of operand entering serially. In Quaternary ripple carry adder based multiplier the partial product accumulation operation is serial, because after n iterations final product is available where n is the maximum number of digits in each operand. In Quaternary ripple carry adder based multiplier after each clock cycle multiplier digit is multiplied with multiplicand value with the help of single digit multiplier,the result of this multiplication is then added to the partial product, the partial product is then stored in partial product register, partial product shift register shift the partial product after every clock cycle. Quaternary RCA multiplier structure is then implemented with carry look-ahead adder as shown in Fig 1.Then comparative analysis of Quaternary ripple carry adder based multiplier & quaternary carry look-ahead multiplier is done based on power & area as shown in fig.4 & fig. 5 DOI: 10.9790/4200-05310611 www.iosrjournals.org 7 Page

Table I. Truth Table Of Quaternary Multiplier C B A CARRY SUM C B A CARRY SUM 0 0 0 0 0 1 2 0 0 1 0 0 1 0 1 1 2 1 0 3 0 0 2 0 2 1 2 2 1 1 0 0 3 0 3 1 2 3 1 2 0 1 0 0 1 1 3 0 0 1 0 1 1 0 1 1 3 1 1 0 0 1 2 0 2 1 3 2 1 3 0 1 3 0 3 1 3 3 2 2 0 2 0 0 0 2 0 0 0 2 0 2 1 0 2 2 0 1 0 2 0 2 2 1 0 2 0 2 0 2 0 2 3 1 2 2 0 3 0 2 0 3 0 0 0 2 1 0 0 2 0 3 1 0 3 2 1 1 0 3 0 3 2 1 2 2 1 2 1 0 0 3 3 2 1 2 1 3 1 1 1 0 0 0 1 2 2 0 0 2 1 0 1 0 1 2 2 1 1 0 1 0 2 0 1 2 2 2 1 2 1 0 3 0 1 2 2 3 2 0 1 1 0 0 1 2 3 0 0 2 1 1 1 0 2 2 3 1 1 2 1 1 2 0 3 2 3 2 2 0 1 1 3 1 0 2 3 3 2 3 Figure 1: Design of Quaternary CLA multiplier 4.2 Quaternary Combinational Array Multiplier In quaternary Combinational array multiplier partial products are generated simultaneously. In quaternary Combinational array multiplier each partial product bit of the multiplication can be computed in parallel. In combinational array multiplier, the array consist of structure of quaternary Half adder & quaternary full adder as shown in Fig 2. Similar to the combinational array we have designed quaternary Braun multiplier as shown in Fig. 3. Comparative analysis of power & area of Quaternary parallel & Braun multiplier are shown in Fig. 6 & Fig 7. The power delay product (PDP) is calculated by (1) which is the product of average power dissipation and propagation delays. The PDP of serial & parallel multiplier is then compared. The Energy Delay Product (EDP) of the multiplier circuit is measured by (2) PDP=Power *time (1) EDP = Average Power Dissipation * (Delay) 2 (2) Fig. 9 & Fig. 10 shows power delay product (PDP) and Energy Delay Product (EDP) of quaternary combinational & serial multiplier. DOI: 10.9790/4200-05310611 www.iosrjournals.org 8 Page

Figure 2: 4 bit Combinational array Multiplier Figure 3: Quaternary Braun Multiplier V. Result & Conclusion In this paper we have proposed design of various quaternary multiplier architecture. Simulation of the proposed circuits is carried out for 180nm technology using Tanner EDA tool. Here we proposed comparison of quaternary multiplier in terms of power, area. The analysis result shows that area required for quaternary multiplier is more than area required for binary multiplier, the quaternary multiplier circuits have decreased dynamic power dissipation characteristics and improved timing performance when compared to binary circuits with equivalent word sizes as shown in Fig. 8. In the case of the serial multiplication circuits, an average of 20% more transistors are required for the quaternary implementation. However, the parallel multiplier circuits resulted in a decrease of 14%, 24%, and 33% in transistors respectively for the increasing operand word sizes. Here we have compared binary equivalents for operand word sizes of 8, 16, and 32quaternary digits. The corresponding binary circuits are synthesized using tanner EDA tool for 16, 32, and 64 bit operand word sizes for power dissipation, area. From PDP and EDP graph as shown on Fig. 9 & Fig.10 it is concluded that as compared to serial multiplier quaternary parallel multiplier is fast in speed performance and full-swing This type of multiplier can be used for the design of ALU. Acknowledgment The authors would like to acknowledge & express thanks to Vasundara Patel K S, Mitchell A. Thornton, Satyendra R.P.Raju Datla for giving idea for the design of the quaternary circuit. Figure 4: Comparative analysis of area of ` Figure 5: Comparative analysis of power Quaternary serial & Quaternary CLA of Quaternary serial & Quaternary CLA multiplier multiplier DOI: 10.9790/4200-05310611 www.iosrjournals.org 9 Page

Figure 6. Comparative analysis of power of combinational array multiplier & Braun multiplier Figure 7. Comparative analysis of area Quaternary of Quaternary combinational array multiplier & Braun multiplier Figure 8. Comparative analysis of Quaternary Serial & binary Serial Qcombinational array multiplier & binary parallel multiplier Figure 9. Comparative analysis of Quaternary combinational array multiplier &Q Serial multiplier Figure 10. Comparative analysis of Quaternary Serial & Quaternary combinational array multiplier multiplier DOI: 10.9790/4200-05310611 www.iosrjournals.org 10 Page

References [1]. Y K Yamanaka, T Nishidha, T Saito, M Shimohigashi, and K Shimizu, A. Hitachi Ltd., Tokyo " A 3.8-ns CMOS 16x16-bit multiplier using complementary pass-transistor logic," IEEE Journal of Solid-State Circuits, vo1.25, no 2,pp.388-95, 1990 [2]. K. C. Smith, Multiple-valued logic: a tutorial and appreciation, IEEE Computer, vol.21, pp. 17 27,Apr. 1988 [3]. Ricardo Cunha, Henri Boudinov and Luigi Carro Quaternary Look-up Tables Using Voltage-Mode CMOS Logic Design Proceedings of the 37th International Symposium on Multiple-Valued Logic (ISMVL'07)pp.56-56, 2007, 13-16 May, 2007. [4]. Ricardo Cunha G. da Silva, A Novel Voltage Mode CMOS Quaternary Logic Design, IEEE Transactions on Electron Devices, vol.53, no. 6, June 2006. [5]. Satyendra R.P.Raju Datla, Mitchell A. Thornton Quaternary Voltage-Mode Logic Cells and Fixed-Point Multiplication Circuits* ISMVL.2010 [6]. J.T. Butler, (editor), Multiple-Valued Logic in VLSI Design, IEEE Computer Society Press, 1991 [7]. D.M. Miller and M.A. Thornton, Mutiple-Valued Logic:Concepts and Representations,( Morgan & Claypool Publishers, San Rafael, CA, ISBN 10-1598291904, 2008.) [8]. O. Ishizuka, A. Ohta, K. Tannno, Z. Tang, and D. Handoko, VLSI design of a quaternary multiplier with direct generation of partial products, Proc. of IEEE Int. Symp. On Multiple-Valued Logic, 1997, pp.169-174. [9]. Reena Rani, L.K. Singh and Neelam Sharma A Novel design of High Speed Adders Using Quaternary Signed Digit Number System,International Journal of Computer and Network Security(IJCNS), Vol. 2,No. 9, pp.62-66, September 2010 [10]. Vasundara Patel K S, K S Gurumurthy Design of High Performance Quaternary Adders, International Journal of Computer Theory and Engineering, Vol.2, No.6, December, IEEE ( 2010) [11]. W.-S. Chu and W. Current, Quaternary multiplier circuit, Proc. Of IEEE Int. Symp. On Multiple-Valued Logic, 1994, pp.15-18. [12]. Shweta Hajare, P.K.Dakhole, Manisha Khorgade Design of Gates in Multiple Valued Logic Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC 2014 [13]. Burra Shivakrishna,Dr. M. J. C. Prasad Design of Low Power and High Performance Radix 8 Multiplier, International Journal of Emerging Engineering Research and Technology Volume 2, Issue 5, August 2014, PP 130-134. DOI: 10.9790/4200-05310611 www.iosrjournals.org 11 Page