APRAD SOR Excimer group -Progress Report 2011-

Similar documents
Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

Development of a fast EUV movie camera for Caltech spheromak jet experiments

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

UV GAS LASERS PREPARED BY: STUDENT NO: COURSE NO: EEE 6503 COURSE TITLE: LASER THEORY

High Performance Thin Film Optical Coatings Technical Reference Document 09/13. Coatings Capabilities. Heat Control - Hot Mirror Filters

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

LlIGHT REVIEW PART 2 DOWNLOAD, PRINT and submit for 100 points

Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities

Excilamps as efficient UV VUV light sources*

The Photoelectric Effect

Report on BLP Spectroscopy Experiments Conducted on October 6, 2017: M. Nansteel

NIST EUVL Metrology Programs

Novel laser power sensor improves process control

DCS laser for Thomson scattering diagnostic applications

ANALYTICAL MICRO X-RAY FLUORESCENCE SPECTROMETER

Lecture 5: Introduction to Lasers

LTE. Tester of laser range finders. Integrator Target slider. Transmitter channel. Receiver channel. Target slider Attenuator 2

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by

EXPERIMENT 3 THE PHOTOELECTRIC EFFECT

Human Retina. Sharp Spot: Fovea Blind Spot: Optic Nerve

plasmonic nanoblock pair

MICROCHIP MANUFACTURING by S. Wolf

Basic Lighting Terms Glossary (Terms included in the basic lighting course are italicized and underlined)

Measurements of MeV Photon Flashes in Petawatt Laser Experiments

A NEW MULTI-POINT, MULTI-PULSE THOMSON SCATTERING SYSTEM FOR THE MST RFP

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

Measurement of the thickness of thin foils and testing of the heat sealing of food and medicinal packaging

Photolithography I ( Part 1 )

Typical LED Characteristics

Drilling of Glass by Excimer Laser Mask Projection Technique Abstract Introduction Experimental details

Instructions for the Experiment

Thermal management and thermal properties of high-brightness diode lasers

Laser tests of Wide Band Gap power devices. Using Two photon absorption process

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Experimental Physics. Experiment C & D: Pulsed Laser & Dye Laser. Course: FY12. Project: The Pulsed Laser. Done by: Wael Al-Assadi & Irvin Mangwiza

Fast Chemical Imaging at High Spatial Resolution by Laser Ablation Inductively Coupled Plasma Mass Spectrometry

Supporting Information

Light waves. VCE Physics.com. Light waves - 2

J-KAREN-P Session 1, 10:00 10:

Partial Replication of Storms/Scanlan Glow Discharge Radiation

Chapter 21. Alternating Current Circuits and Electromagnetic Waves

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

Terahertz Radiation of a Low-inductance Discharge in Vacuum with Laser-plasma Initiation

Where Image Quality Begins

Compact EUV Source for Metrology and Inspection

High Voltage Engineering

CHAPTER 7. Components of Optical Instruments

Life Science Chapter 2 Study Guide

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

Lecture 18: Photodetectors

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Optical Requirements

Chapter 8. Remote sensing

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Evaluation of Confocal Microscopy. for Measurement of the Roughness of Deuterium Ice. Ryan Menezes. Webster Schroeder High School.

SURFACE ANALYSIS STUDY OF LASER MARKING OF ALUMINUM

Photolithography Technology and Application

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

The Laser Processing of Diamond and Sapphire

Design and Construction of a High Energy, High Average Power Nd:Glass Slab Amplifier. Dale Martz Department of Electrical & Computer Engineering

Period 3 Solutions: Electromagnetic Waves Radiant Energy II

Improved Radiometry for LED Arrays

Industrial Automation

S26 Basic research on 6.x nm EUV generation by laser produced plasma

3D light microscopy techniques

Generation of Sub-nanosecond Pulses

High energy X-ray emission driven by high voltage circuit system

The 34th International Physics Olympiad

Single-photon excitation of morphology dependent resonance

Laser Induced Damage Threshold of Optical Coatings

Lecture 5. Optical Lithography

Silicon Photodiodes - SXUV Series with Platinum Silicide Front Entrance Windows

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

SECOND HARMONIC GENERATION AND Q-SWITCHING

End Capped High Power Assemblies

4.6.1 Waves in air, fluids and solids Transverse and longitudinal waves Properties of waves

arxiv:hep-ex/ v1 19 Apr 2002

THEIMER - lamps. The optimal type for every application. Ga - Fe doped: Multi spectrum type TH...2 Ga - Pb doped: Dual spectrum type THS...

Infra-Red Propagation Through Various Waveguide Inner Surface Geometries

Evaluation of high power laser diodes for space applications: effects of the gaseous environment

ABSTRACT. Supported by U.S. DoE grant No. DE-FG02-96ER54375

<Chap. 2 Optics> 1.Light directivity. Light directivity can be seen using smoke and milky water in a plastic bottle

Luminous Equivalent of Radiation

ISO Determination of sunscreen UVA photoprotection in vitro. Détermination in vitro de la photoprotection UVA. First edition

CU-LASP Test Facilities! and Instrument Calibration Capabilities"

Imaging Systems Laboratory II. Laboratory 8: The Michelson Interferometer / Diffraction April 30 & May 02, 2002

Citation X-Ray Spectrometry (2011), 40(4): 2. Right final form at

Experiment 12: Microwaves

Sub-mm Linear Ion Trap Mass Spectrometer Made Using Lithographically Patterned Ceramic Plates

EUV Plasma Source with IR Power Recycling

EE 43 Smart Dust Lab: Experiment Guide

GraspIT Questions AQA GCSE Physics Waves

EUV Light Source The Path to HVM Scalability in Practice

Transcription:

APRAD SOR Excimer group -Progress Report 011- The DPP EUV source activity During 011 the work on the DPP (Discharge Produced Plasma) source of Extreme Ultraviolet (EUV) radiation has been devoted to a further apparatus characterization and optimization as well to an application of the DPP in the framework of the anti-counterfeiting technique developed by ENEA. The DPP is supplied, as shown in Fig. 1, by a 50 nf glycol cylindrical capacitor (C1) which produces a high voltage (1 kv) and high current (-11 ka) electric discharge in a low pressure xenon gas contained in a short (7 mm) alumina capillary tube. The high magnetic field (> 1 T) generated by the current, pinches the gas toward the capillary axis, thus increasing the temperature up to approximately 30 ev. After a further optimization of the gas flow and of the discharge electrodes shape and, after an improvement of the charging circuit, the pick current has now been increased approximately by %, the EUV pulse duration has overcome 0 ns, and the temporal behaviour of the EUV emission, detected by a Zr-filtered PIN diode, is characterized by multiple pulses corresponding to the discharge current oscillations, as shown in Fig.. In these conditions the total energy in the - 0 nm wavelength band has reached approximately 0 mj/shot. HV glycol PVC cell Spark-gap HV electrode (metallic tube) Insulator ground electrode (brass ring) Vacuum chamber Insulator (plexiglass) Figure 1: Schematic of the DPP source. Gas inlet EUV Capillary tube Water cooling Insulator Amplitude 0 1 1 1 1 0 1 V 1 [kv] 1 1. 1. 1 0. 0. 0. 0. 0 0. 0. 0. 0. 1 1. 1. 1. Time (µs) EUV [a.u.] I CAP [ka] Figure : Temporal evolution of the voltage on the cylindrical capacitor (V1), of the discharge current in the capillary tube (I CAP ) and of the EUV emission power in the -0 nm spectral region. The DPP source can now be operated for long time (hours) at -0 Hz repetition rate with a 3% r.m.s. pulse energy stability (improved by almost a factor two with respect to the previous

configuration, see Progress Report 0). Its efficiency is the same of high-quality commercial sources and its reliability is demonstrated by several successful applications, like the anticounterfeiting dedicated exposures described below. In order to better understand the DPP pinch behaviour, a simplified numerical code has been developed: as shown in Fig. 3, the plasma column diameter Φ collapses at a speed as high as 30 km/s, reaching a minimum diameter approximately one order of magnitude smaller than the initial one. At the maximum of the plasma compression, the discharge resistance R P grows quickly thus generating a peak of the plasma emission (in the whole spectrum starting from IR up to X-rays) both by lines transitions (W rad ) and by Bremsstrahlung (W brem ). This peak of emission probably corresponds to the tip at the end of the first pulse of the EUV radiation experimentally measured in the -0 nm range (see Fig. ). Even the multiple emission by the current subsequent oscillations is well reproduced by the code (see Fig. 3). Amplitude i 1 1 1 0 1 1 Φ (mm/) I CAP (ka) R P (Ω/) T (evx) P 0 =1 mbar V 0 =1 kv Φ cap / v-pinch 30 km/s 1 0 5 50 75 0 15 150 175 00 5 50 75 300 35 350 375 00 5 50 75 500 Time (ns) W rad (MWx0) W brem (MWx0.1) R P (Ω/) Figure 3: Temporal evolution of the discharge parameters simulated by the simplified numerical code for an initial gas pressure of 1 mbar and a C1 charging voltage of 1 kv. See text for symbols explanation. The source size has been estimated by imaging the source on a suitable EUV sensitive film (Gafchromic HD- film) through a multi-pin-hole camera; in this way the source has been imaged simultaneously from different directions with respect to its axis. As an example, Fig. shows the source image obtained for a viewing angle of 0. Based on the demagnification factor (1/ in this experiment) and on the pin-hole diameter (70 µm) a source size of approximately 00-300 µm has been estimated.

Figure : DPP source image on a Gafchromic HD- film obtained by a 70 µm in diameter pin-hole camera at a viewing angle of 0 off-axis. The size of the high intensity disk (90 µm) is just a bit larger than the pin-hole one, while the low intensity smudge belongs to a very low energy part of the spectrum (it disappears when limiting the spectrum to the -0 nm range by a zirconium filter). The clean emission (almost debris-free) of this source makes it particularly suitable for applications to direct EUV treatment of different materials. As an example, a test exposure of a lithium fluoride (LiF) crystal has been performed. After few thousands shots (about minutes exposure) at cm from the source, very intense luminescent patterns, shown in Fig. 5, have been obtained without any visible damage to the LiF surface. The high resolution shadow of the supporting structure of a zirconium filter placed in contact with the crystal is well visible in the image and this confirms the absence of significant blurring, thanks to the small size of the source. a) b) c) Figure 5: Images of a LiF crystal (partly covered by a Zr filter) exposed to 000 DPP shots at a -cm distance from the source, observed by a fluorescence microscope at different magnification levels: x (a), 0x (b) or 50x (c) objective. In a) and b) a dashed circle highlights the region respectively enlarged in b) and c). The DPP is now a reliable EUV source and it can be considered as complementary with respect to the other EUV source of the UTAPRAD-SOR Laboratory (the laser plasma source EGERIA). While EGERIA allows to write patterns at very high spatial resolution ( 90 nm) through its EUV projection tool and to expose samples to radiation at higher than EUV spectral energies (XUV), the DPP allows a direct and debris-free EUV exposure over a wide cone of emission ( 1 sr) at average power levels higher than the EGERIA ones. Experiments with other gases aimed at the radiation emission in spectral ranges at lower wavelengths than EUV are planned in the near future.

Anti-counterfeiting ENEA technology: new applications In contrast with other anti-counterfeiting techniques, like for example those based on the use of fluorescent inks, the ENEA patented (patent number RM00A0001 and EP115) anticounterfeiting technique is based on writing invisible pattern by exposing Lithium Fluoride (LiF) films to EUV radiation (through a mask). Consequently, the spatial resolution can be orders of magnitude better. After the successful demonstration of this anti-counterfeiting technology on an identification badge (see the Progress Report 0), in 011 other objects have been tested by using the DPP as EUV source. For this purpose, LiF films were evaporated, at the UTAPRAD-MNF Laboratory, on thin plastic transparent tags, showing the ENEA logo and the schematic of the lithium atom (see Fig. a), which could be stuck on whatever item. Films were exposed to few thousand shots of the DPP at about - cm distance from the source. A 0.1-mm thick mask having the E letter was placed almost in contact with the film during the irradiation. Figs. b and c show the appearance of the letter only when the tag is properly observed. a) b) c) Figure : Plastic adhesive transparent tag stuck on a rough metal plate where, in the area corresponding to the central circle ( mm in diameter), a LiF film has been deposited and then exposed through a metallic mask to the EUV radiation from the DPP. (a) the tag observed when illuminated with natural light. (b) Same tag observed by a microscope at low magnification (.5x objective) in fluorescence mode or (c) by a simple lens equipped with proper filter and illumination. The list of most frequently counterfeited items includes also microelectronic components. To demonstrate the applicability of the ENEA technique also to this type of objects, LiF films were evaporated (at the UTAPRAD-MNF Laboratory) on the surface of several packaged integrated circuits and exposed to the EUV radiation from the DPP source as done for the tags, but with the ST Microelectronics logo in the mask. Both in the case of metallic and plastic packages the results were very good, notwithstanding a peak-to-valley roughness of the substrate surfaces up to µm. As shown in Figs.7a and a, the patterns are absolutely invisible at naked eye (even if observed under a microscope with white light illumination, see Fig. 7b), while they are easily readable by a microscope in fluorescence mode, as evident from Figs. 7c and b. The exposed samples are a validation of the real feasibility of a writing tool for anticounterfeiting tags/labels production based on the ENEA technique.

a) b) c) Figure 7: (a) Standard transistor with plastic packaging covered by a LiF film and exposed through a metallic mask to the EUV radiation from the DPP, as observed when illuminated with natural light. The treated area is observed by a microscope at low magnification (b) with white light illumination and (c) in fluorescence mode. a) b) Figure : Standard transistor with metallic packaging covered by a LiF film and exposed through a metallic mask to the EUV radiation from the DPP, as observed (a) when illuminated with natural light and (b) by a simple lens equipped with proper filter and illumination. Irradiation of linen fabrics In the frame of experiments of Shroud-like coloration by UV and VUV lasers (see Progress Report 0) one wonders about the differences between the linen fabric that is used in the Lab and the linen of the Shroud of Tuirin, besides the age. To this end, we measured some additional optical characteristics of our linen to be compared with the linen of the Shroud. For this purpose, we used a spectrophotometer Perkin-Elmer Lambda 950 TM, equipped with a 15-cm-diameter integrating sphere. The interior of the sphere is covered with a plastic material known as Spectralon, whose characteristics of reflection are almost 0% Lambertian and constant over the whole spectrum UVvisible-near infrared. Additionally, this instrument has an internal calibration of the Spectralon, which allows to directly obtain absolute reflectance spectra. In collaboration with UT TMAT OTT we measured the hemispherical absolute spectral reflectance R(λ) (i.e. the percentage of light reflected by our linen with respect to the incident light) and the results are shown in Fig. 9, together with the results of spectral reflectance measured on the Shroud. Figure 9 shows that the reflectance spectrum of our linen is similar to that of the Shroud. There is a small difference in the spectral region between 50 nm and 00 nm, showing our linen is less yellowish than the Shroud, possibly because of the different age. Most important, the absolute

reflectance at the laser wavelengths we used, 193 nm and 30 nm, is almost the same. Thus, from the optical point of view, when irradiated in the UV and VUV our linen behaves like the linen of the Shroud. Figure 9. The solid lines show the absolute reflectance of the linen of the Shroud, in areas of no-image as a function of the wavelength. The dashed line shows the absolute hemispherical reflectance R(λ) of the linen used in our experiments. Using the same spectrophotometer we also measured the hemispherical transmittance T(λ) of the linen (i.e. the percentage of light transmitted by our linen with respect to the incident light) as a function of wavelength. Then, knowing R(λ) and T(λ) we can deduce the spectral absorbance A(λ) as follows: A(λ) = 1 - R(λ) - T(λ) that is, A(λ) is the amount of light absorbed by linen as a function of wavelength, and the results are shown in Fig.. Figure. Plot of the absolute value of the absorbance of the linen vs. the wavelength In order to verify experimentally whether the UV and VUV light emitted by our excimer laser interacts with the linen by photochemical processes, without inducing a significant heating of the irradiated fabric, in collaboration with Padua University we used the infrared camera ThermoShot

F30 equipped with micro-bolometers sensitive in the spectral range µm - 13 µm. This camera is able to measure the surface temperature of objects with the uncertainty of 0. C. The camera was aligned in front of the linen during laser irradiation, monitoring in real time the temperature of the whole linen fabric, both in the irradiated and non-irradiated areas. Figures 11a and 11b show the results. During laser irradiations the room temperature was ranging between 0 and 1 C, and the linen region irradiated by the XeCl laser (λ = 0.30 µm) was heated up to 33 C, while the linen irradiated by the ArF aser (λ = 0.193 µm) was just heated up to 5 C. It is known that thermal effects can color the linen only when the linen temperature approaches 00 C, and we can conclude that excimer laser coloration is due to a photochemical process that does not involve significant thermal effects. Figure 11a. Left: photo in visible light of the linen during XeCl laser irradiation. The area irradiated is visible as a yellowed spot. On the right, the same picture seen in infrared light, where the area irradiated by the XeCl laser is well visible. The color scale at the bottom allows to ascertain that the hottest region of the linen (in the middle of the laser spot) reaches 33 C, while the non-irradiated area is at the room temperature of 0 C. Figure 13b. Left: photo in visible light of the linen during ArF laser irradiation. The area irradiated is visible as a yellowed spot. On the right, the same frame seen in infrared light, where the area irradiated by the ArF laser is well visible. The color scale reveals that the hottest area of the linen (in the middle of the laser spot) reaches 5 C, while the non-irradiated area is at the room temperature of 1 C.