DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

Similar documents
DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Integrated Circuit Design for High-Speed Frequency Synthesis

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

Module -18 Flip flops

A Low Power Single Phase Clock Distribution Multiband Network

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER

Optimization of Flexible Divider

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

PE3282A. 1.1 GHz/510 MHz Dual Fractional-N PLL IC for Frequency Synthesis. Peregrine Semiconductor Corporation. Final Datasheet

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

Section 1. Fundamentals of DDS Technology

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

/$ IEEE

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Optimization of Digitally Controlled Oscillator with Low Power

Simulation technique for noise and timing jitter in phase locked loop

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

Learn about phase-locked loops (PLL), and design communications and control circuits with them.

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

ISSN:

ISSN Vol.06,Issue.05, August-2014, Pages:

MTS2500 Synthesizer Pinout and Functions

Design of a Single Phase Clock Multiband Flexible Divider Using Low Power Techniques

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

A 3-10GHz Ultra-Wideband Pulser

American International Journal of Research in Science, Technology, Engineering & Mathematics

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Lecture 7: Components of Phase Locked Loop (PLL)

NJ88C Frequency Synthesiser with non-resettable counters

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

AN4: Application Note

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

DLL Based Frequency Multiplier

A Design of RF Based Programmable Frequency Divider for IEEE a Wireless Access

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

Programmable Clock Generator

INF4420 Phase locked loops

THE UNIVERSITY OF NAIROBI

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

OBSOLETE FUNCTIONAL BLOCK DIAGRAM V DD 1 V DD 1 V P 2 V P 11-BIT IF B-COUNTER 6-BIT IF A-COUNTER 14-BIT IF R-COUNTER 14-BIT IF R-COUNTER

THE serial advanced technology attachment (SATA) is becoming

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

HiMARK FS8170. FS GHz Low Power Phase-locked Loop IC. Description. Features. Package and Pin Assignment

Features. Applications

Design of CMOS Phase Locked Loop

Dedication. To Mum and Dad

A Programmable Frequency Divider Having a Wide Division Ratio Range, and Close-to-50% Output Duty-Cycle

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

Simple odd number frequency divider with 50% duty cycle

IES Digital Mock Test

Application Note AN51

Digital Systems Design

Features. Applications

Frequency Synthesizers

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

A Low Power VLSI Design of an All Digital Phase Locked Loop

Analysis and Design of a Low-Power Low-Noise CMOS Phase-Locked Loop

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

CMOS Digital Integrated Circuits Analysis and Design

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Low Power Adiabatic Logic Design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

Code No: R Set No. 1

Design of a Frequency Synthesizer for WiMAX Applications

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A Monolithic 0.18μm 4GHz CMOS Frequency Synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

PLL Frequency Synthesizer. Technical Data YYWW HPLL HPLL-8001

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

Transcription:

12 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER Totok Mujiono Dept. of Electrical Engineering, FTI ITS Kampus ITS Sukolilo, Surabaya, email: totok_m@ee.its.ac.id Abstract This paper discussed the circuit level design and simulation of fractional-n frequency divider, a circuit block used mainly in frequency synthesizer. The design was done in schematic level. A low power 0.5 micron CMOS technology called CMOSIS5 used for modeling the circuit devices. The frequency divider was design for 900 MHz GSM standard mobile communication application. For the simulation, circuit level simulator SPICE was used. The circuit was run under 1 GHz input frequency. Simulation results show that the circuit was running well in this frequency input. Keywords: Frequency Divider, Multiple modulus, PLL Synthesizer. 1. INTRODUCTION A frequency divider is one of the important blocks for the phase-locked loop (PLL) synthesizer, which is widely used in many applications such as radar, digital communication, and electronic imaging. Frequency synthesis was used for the first time in 1943 by Finden when he described the generation of frequencies that are multiples of a reference frequency [1]. A PLL synthesizer consists of a phase detector (PD), a loop filter (LF), a voltage controlled oscillator (VCO), and a frequency divider (FD). The block diagram of a PLL synthesizer and its linear model is shown in Fig. 1. The frequency of the PLL synthesizer input (reference signal, f r ) is a fixed value and the frequency of its output signal (f o ) is the reference frequency multiplied by N. The frequency of the output signal can be varied by changing the division ratio (N) of the frequency divider. PLL synthesizers exhibit excellent phase noise performance over a wide tuning range and are easier to implement in monolithic form compared to the others frequency synthesizers [2]. For many applications, for example in radio frequency systems, need a frequency synthesizer that is able to change in small and precise steps at high speed. To have a small step, the reference frequency should be set to a very low frequency compared to the output frequency. This condition makes the division ratio N very large and the loop bandwidth (natural frequency) very small, thus the settling time will be very slow. Another problem with a low reference frequency is the wide variation of N. Since the damping factor and natural frequency are functions of the division ratio N, the large change in N will have an impact on stability, settling time, and phase error. To solve this problem the division ratio N is made a fractional number (fractional-n PLL synthesizer) [3]. The discussions about the linear and non-linear modeling of the PLL synthesizer have been done in Mujiono paper [4]. The impact of the multiple modulus, for fractional-n frequency divider implementation, to the output signal was also discussed in the same paper. There are many approach of fractional-n frequency divider implementation. Basically, it can be categorized in two approaches: conventional and non-conventional. The discussion of implementation of fractional-n frequency divider using Programmable Devices (FPGA) has been done in Mujiono paper [5]. In this paper we presented the possible implementation of fractional-n frequency divider for monolithic circuit. The most popular technology for the digital system is metal oxide semiconductor (MOS) technology due to the high density and low power of the complementary MOS (CMOS) fabrication processes. Some research in monolithic PLL synthesizers using CMOS technology has been reported in recent years. A fully monolithic PLL synthesizer without any external blocks was implemented in 0.45 micron CMOS technology [6]. 2. FRACTIONAL FREQUENCY DIVIDER f r PD LF VCO Φ e (s) F(s) 1/s FD 1/N fo A frequency divider divides the frequency of the input signal by N to get the output frequency at 1/N times the input frequency. In the PLL frequency synthesizer application, the value of the divider is not fixed but variable with the range from a minimum value (N min ) to a maximum value (N max ) with a certain step size as illustrated in Fig. 2. The mean of the divider value is: Fig. 1: Linear Model of PLL Synthesizer N mean = (N min N max ) 1/2

JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 13 signal. The adder circuit is used to generate the control signal for the divider modulus, N or N+1. f in 1/N f out N min to N max fin V/V+1 N 1 fo Fig. 2: Frequency divider presc_ctrl clear Division by a fractional number is possible using multiple modulus divider, for example dual modulus: N and N+1. By periodically alternating the division ratio between N and N+1, the result, on average, is a fraction between N and N+1. For example, if the counter divides by 181 for 57 cycles (out of 100 cycles) and divides by 182 in the remaining 43 cycles, the counter will be working as it was a 181.43 divider. The value N is the integer part of the division ration. The control signal responsible for selecting the division ratio (either N or N+1) comes from the overflow (C o ) signal of the full-adder (FA) output. The full-adder here is a synchronous adder, and the clock signal comes from the output of the divider. The output of the full adder is sent back to the input for the next addition. Another input comes from the fractional part of the division ratio F (see Fig. 3). For a division ratio of 181.70, the integer part (N) is 181 while the fractional part (F) is 0.70. f in N N/(N+1) Divider S A Co B F Fig. 3: Fractional-N divider f out FA The divider consists of two asynchronous counters (counter_1 and counter_2), a prescaler V/V+1, a delay circuit, and an adder. Both counter_1 and counter_2 are up counters with counter_2 value (N 2 ) always less than counter_1 value (N 1 ). The prescaler is a dual modulus synchronous counter whose value is controlled by the presc_ctrl signal generated by counter_2. For example if the presc_ctrl signal is "high", the prescaler works as a V+1 counter and if presc_ctrl signal is "low", the prescaler works as a V counter. The delay circuit is used to delay the presc_ctrl signal by one clock cycle (to get a ratio of N+1) when the adder circuit sends the overflow delay carry out FA F Fig. 4: Frequency Divider Block Diagram In this paper, the input signal to the fractional-n divider is assumed to have variation between the frequency of 880 MHz and 920 MHz with resolution 200 KHz, and the output signal is assumed to have frequency of 5 MHz. Thus, the fractions of division are 0.04, 0.08, 0.12, 0.16,, 0.92, and 0.96. For these values the overflow signal always repeat every 25 cycles of the input. The number of times it goes high within these cycles depends on the specific fraction. For a fraction of 0.04, it goes high for one cycle every 25 cycles, hence its frequency is 200 KHz since clock (f out ) is 5 MHz. This case will be the same if the fraction is 0.96. For the other fractions, the frequency will be the same or higher. This overflow signal causes spurious noise at the VCO input[4]. As the spurious signal rejection is lower for higher frequencies of the overflow signal, a worst case value of 200 KHz is used for the overflow frequency when spurious rejection is considered. These values, division ratio variations, are used for GSM standard mobile communication application. Thus the fractional-n divider has a division ratio range between 176 and 184 with a 0.04 step. For this division ratio range, in this research, N 1 is set to fixed number 44, V is set to 4, and N 2 (depends on the combination of d1, d2, d3, and d4) is made variable between 0 and 15, but only 9 (0 to 8) of them are needed. 3. CIRCUIT LEVEL IMPLEMENTATION System level design of the fractional-n frequency divider has been discussed in part 2. The circuit level description of the fractional-n frequency divider will be discussed in part 3. A 3.3 volt MOSIS complementary metal oxide semiconductor (CMOS) technology from MOSIS, CMOSIS5, which supports low voltage operation, has been used to design the fractional-n frequency divider. N 2

14 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 Fig. 5: Frequency Divider Circuit The frequency divider circuit is mainly implemented using a dynamic single-phase clock called a true single-phase clock (TSPC) circuit technique that is suitable for high speed applications [7]. Other parts of the circuit are designed in standard CMOS complementary logic circuits and an And-Or- Invert (AOI) logic function. The important issue in high-speed digital circuit design is transistor sizing since the size of each device controls both its capacitance and current capability, where both of them are will be controlled the circuit speed. The circuit designed of the dual-modulus divider is shown in Fig. 5. Here, counter_1 and counter_2 have been merged into only one 6-bit asynchronous counter. The input signal (from the VCO) comes to the in_signal and the scaled down signal output port is Divider_out. Initially, the content of the counter is 0 and the prescaler is dividing by 5. When the counter reaches N 2, which is determined by input d1, d2, d3, and d4, the res output of the RS-FF becomes "high". This causes the presc_ctrl to go "low" and prescaler starts dividing by 4. The counter continues counting up until its contents reach 44, at which point the output of the 3- input NAND gate NAND3 (res2) goes "low" and makes the clear signal "high", which causes the counter and RS-FF to be reset. However, the presc_ctrl state is not changed until next the clock cycle when the output of the DFF-X goes "high". This guaranties synchronization with the clock pulse. The circuit operation explained above happens if the Overflow signal is "low". If the Overflow signal is "high", then when the counter reaches the final count and the output of the RS-FF is low, two cycles will be needed (as opposed to one in the previous case) to change the presc_ctrl signal. Thus, the prescaler control is delayed by an additional clock cycle. It needs 353 transistor to implement the fractional-n frequency divider. 3.1. Prescaler One of the important parts in the fractional-n divider explained above is the prescaler circuit. The prescaler circuit operates at high frequency (about 900 MHz) and the remaining logic circuits operate at most at 225 MHz. There are two types of prescaler: fixed rate and dual modulus. In this research, the dual modulus type is used since two values, V and V+1 (4 and 5), are needed. The prescaler circuit consists of three positive transition D latches arranged in a master-slave configuration with negative feedback [8]. The output signal from the prescaler (clk_out) is equal to the input frequency divided by 4 or 5 depending on the prescaler control (presc_ctrl). If the prescaler control is "high" the output is divided by 5 and if the prescaler control is "low" then the output is divided by 4. 3.2. Full-Adder As has already been explained in Part II, the fractional part of the divider value is in the range between 0.04 to 0.96 with a step of 0.04. To have good resolution much more than 16 bits are needed to implement these values in a fractional binary system. In this research these fractional values were multiplied by 100, thus now the values are in the range between 4 and 96 with step 4. Therefore the overflow signal is asserted if the result of the addition is 100 or more. A decimal number from 4 to 96 input needs 8-bit data binary. This 8-bit input binary number is the d0-d7 inputs. A small modification is needed for the result of 100 or above. If the result is below 100, this number can be directly added to the original value. But if the result is 100 or above, this value needs to be added with 28 before being added to the original value. Two 8-bit full-adder, control, multiplexer, and accumulator blocks perform this function. Since the addition operation performed in this block does not have a high speed requirement (only 5 MHz), the circuit realization is built using standard CMOS (CMOS complementary) techniques.

JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 15 Fig. 6: Output Waveforms of the Counter for N = 183.60 4. CIRCUIT SIMULATION The circuit has been run several times for simulation. The standard circuit level simulator called SPICE has been emloyed for that purpose. The 0.5 micron CMOS technology from MOSIS, called CMOSIS5, has been used for the transistor model. Some of the simulation will be presented here. The 1 GHz signal has been used for the fractional-n divider input. Fig. 6 shows the output waveforms of the asynchronous counter. The input signal of the counter is the output signal from the prescaler (presc_out), and the output signal is the inverse of the last D-latch output, Q5b. Q0b, Q1b, Q2b, Q3b, and Q4b signals are the output of the D-latches used to build the counter. When the clear signal is asserted, the prescaler control (presc_ctrl) goes "high", and the prescaler acts as a divide by 5. After the counter reaches N 2 (7) the prescaler control goes "low" and now the prescaler acts as a divide by 4 until the counter reaches N 1 (44). It can be seen that the signal period of the prescaler output is wider when the prescaler control (presc_ctrl) is "high" compared to when the prescaler control is "low". There are 44 presc_out signals in total between the clear signal and the next clear signal. The output of the counter is Q5b. The next simulation is for the fractional-n frequency divider. Simulation of fractional-n divider needs quite a lot of memory space and simulation time. For an example, with the division ratio set to 183.92 in every 100 cycles of the output signal, 92 cycles are the result of division by 184 and the other 8 cycles are the result of division by 183. Thus at least 25 cycles of the output signals are needed to see one whole cycle of fractional division Fig. 7 shows the waveforms of the divider output when the division ratio is set to 183.60. This division ratio is obtained by setting the scale factor of the integer (N 2 ) to 7 (0111) and fractional input to 60 (0111100) of the fractional divider circuit. As explained in Chapter 4, N 1 is fixed at 44. The waveforms consist of divider input signal (f_in), prescaler control (presc_ctrl), clear (clear), overflow (Overflow), and divider output signal (Divider_out). The frequency of the input signal is set to 1 GHz. Fig. 7: Fractional-N divider waveforms for N = 183.60

16 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 Just after the first clear signal appears (at time 188.1 ns), the Divider_out signal goes high and the contents of the accumulator becomes 60 and the Overflow signal is low, the counter will count until 183 before the second clear signal is generated. The second Divider_output signal changes the contents of the accumulator to 20 and Adder circuit sends the overflow signal; now the counter will count until 184. Thus, for 5 cycles period of the output signal, the input frequency will be divided by 183 for two cycles (cycle 1 and cycle 3) and divided by 184 for the other 3 cycles. The difference between a divide by 183 and divide by 184 cannot be seen from the divider output (Divider_out) waveform shown here, since the difference is very small. A time period of 10 cycles of the Divider_out signal measured from the simulation starting at the first clear signal is shown in Table 1 below. Table 1: Time periods of the frequency divider output measured from simulation Cycle # T(μS) Cycle # T(μS) 1 183.0 6 183.0 2 184.0 7 184.0 3 183.0 8 183.0 4 184.0 9 184.0 5 183.9 10 184.0 The total period for the 10 cycles of simulation is 1.8359 10-6 second. The average time period for each cycle is 1.8359 10-7 second. This number is slightly different from the time period resulting from the calculation, i.e., 183.6/(1 10 9 ) = 1.836 10-7 second. This difference can be reduced if more cycles are included in the measurement. [3] Bar-Giora Goldberg, The Evolution and Maturity of Fractional-N PLL Based frequency Synthesizer, Microwave Journal, pp. 124-134, September 1996. [4] T. Mujiono, A Framework for Behavioral Modelling of PLL, SITIA 2001, Surabaya, May 2001. [5] T. Mujiono, Pembagi Frekuensi Dengan Multiple Modulus untuk Pensintesa PLL Hybrid, SITIA 2002, Surabaya, May 2002. [6] M. Soyour, J.F. Ewen, and H.L. Chuang, A Fully Monolithic 1.25 GHz CMOS Frequency Synthesizer, Digest Technical Papers, 1994 IEEE Symposium on VLSI Circuit, pp. 127-128, Honolulu, USA, June 9-11, 1994. [7] J. Juan and C. Svensson, High-Speed CMOS Circuit Technique, IEEE Journal of Solid State Circuit, Vo. 24, No. 1, pp. 62-69, February 1989. [8] R. Rogenmoser, Q. Huang, and F. Piazza, 1.57 GHz Asynchronous and 1.4 GHz Dual-Modulus 1.2 μm CMOS Prescaler, Proceeding of the 1994 IEEE Custom Integrated Circuit Conference, pp. 387-390, Santa Clara, USA, May 5-8, 1994. Totok Mujiono finished his S1 degree in Electrical Engineering from Institute of Technology Sepuluh Nopember (ITS) in 1988 and S2 degree in Computer Science from University of Indonesia (UI) in 1990. His main research is high-level modeling and implementation of frequency-synthesizer, including: behavioral modeling of phase-locked loop (PLL) and FPGA based implementation of digital PLL and direct discrete synthesizer (DDS). 5. SUMMARY AND CONCLUSION In this paper, the fractional-n frequency divider has been designed and simulated at the schematic level. The fractional-n divider designed for a division ratio range between 176 and 184 with a 0.04 step. The circuit was designed for input frequency about 900 MHz. The circuit realization was performed at the schematic level using the low power CMOSIS5 0.5 micron technology. About 353 transistor was needed to implement the frequency divider. The simulation was performed using SPICE, a standard circuit level simulation tool. The simulation results shown that the divider circuit was working well at the 1 GHz. REFERENCES [1] Jack Smith, modern Communication Circuit, New York, USA: 2 nd edition, McGraw Hill, 1998. [2] B. Rajavi, Design of Monolithic Phase-Locked Loop and Clock Recovery Circuits, pp. 1-39, New Jersey, USA: IEEE Press 1996.