FPGA Implementation of VHDL Based Traffic Light Controller System

Similar documents
ISSN Vol.05, Issue.07, July-2017, Pages:

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

Design and Implementation of ITLC System Using FPGA

Real Time Traffic Light Control System Using Image Processing

Density Based Traffic Control with Emergency Override

Digital Systems Design

Programmable Control Introduction

Adaptive Traffic Light Control System

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

Design and Implementation of High Speed Carry Select Adder

Speed Control of BLDC Motor Using FPGA

VENDING MACHINE USING VHDL

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

Intelligent Traffic Light Controller

Lecture 1. Tinoosh Mohsenin

Intelligent Traffic Signal Control System Using Embedded System

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

MICROPROCESSORS AND MICROCONTROLLER 1

Development of Timer Core Based on 82C54 Using VHDL

FPGA Implementation of a Digital Tachometer with Input Filtering

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

An Efficent Real Time Analysis of Carry Select Adder

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

PE713 FPGA Based System Design

Introduction to PLC and Ladder Logic Programming

Design and Implementation of Modern Digital Controller for DC-DC Converters

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

Keywords- Fuzzy Logic, Fuzzy Variables, Traffic Control, Membership Functions and Fuzzy Rule Base.

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Simulation and Verification of FPGA based Digital Modulators using MATLAB

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART

Automatic Routing of Traffic Signaling using Image Processing

An Optimized Design for Parallel MAC based on Radix-4 MBA

Chapter 9. sequential logic technologies

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

ADAPTIVE TRAFFIC LIGHT CONTROL SYSTEM

Index Terms-Emergency vehicle clearance, Higher density, IR sensor, Micro controller, RFID Technology.

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

Design Of Fpga Based Pwm Solar Power Inverter For Livelihood Generation In Rural Areas

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

An Efficient Method for Implementation of Convolution

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

(PV) Rural Home Power Inverter Using FPGA Technology

A Low Power VLSI Design of an All Digital Phase Locked Loop

Design and synthesis of FPGA for speed control of induction motor

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

Four-Way Traffic Light Controller Designing with VHDL

VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS

Developed Automated Vehicle Traffic Light Controller System for Cities in Nigeria

Image Enhancement using Hardware co-simulation for Biomedical Applications

UNIVERSITI MALAYSIA PERLIS

VLSI Implementation of Image Processing Algorithms on FPGA

MEASURING PHYSICAL DIMENSIONS WITH LASER BEAM AND PROGRAMMABLE LOGIC

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

Implementation of Huffman Decoder on Fpga

CS302 - Digital Logic Design Glossary By

International Journal of Scientific and Technical Advancements ISSN:

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates

The Application of System Generator in Digital Quadrature Direct Up-Conversion

DESIGN OF A HIGH SPEED MULTIPLIER BY USING ANCIENT VEDIC MATHEMATICS APPROACH FOR DIGITAL ARITHMETIC

Simple Traffic Light Controller A Digital Systems Design Project

International Journal of Modern Trends in Engineering and Research e-issn No.: , Date: April, 2016

Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA

PID Implementation on FPGA for Motion Control in DC Motor Using VHDL

Chapter 9. sequential logic technologies

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore

TLCSBFL: A Traffic Lights Control System Based on Fuzzy Logic

Speed Traffic-Sign Recognition Algorithm for Real-Time Driving Assistant System

Automatic Intelligent Traffic Control System

Hardware Implementation of Proposed CAMP algorithm for Pulsed Radar

FPGA Laboratory Assignment 5. Due Date: 26/11/2012

International Journal of Advance Engineering and Research Development. UART implementation using FPGA with configurable baudrate

Hardware Implementation of Automatic Control Systems using FPGAs

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2

STAND ALONE CONTROLLER FOR LINEAR INTERACTING SYSTEM

Design of double loop-locked system for brush-less DC motor based on DSP

FPGA Implementation of QAM and ASK Digital Modulation Techniques

Introduction to co-simulation. What is HW-SW co-simulation?

Fig.2 the simulation system model framework

Transcription:

FPGA Implementation of VHDL Based Traffic Light Controller System Sahil Gupta 1, Surbhi Sharma 2 1, 2 Department of Electronics & Communication Engineering, MIET, Jammu, J&K, India Email address: 1 sahilgupta3@yahoo.in, 2 surbhi.ece@mietjammu.in Abstract Vehicular traffic at intersecting streets is typically controlled by traffic control lights. The function of traffic lights requires sophisticated control and coordination to ensure that traffic moves as smoothly and safely as possible. In recent times the electro-mechanical controllers are replaced by electronic circuits. The accuracy & fault tolerant drive towards electronic circuits. The idea is developed to meet the requirements of solid state traffic light controller by adopting FPGA (field programmable gate array) board and VHDL (VHSIC hardware description language) as the main controlling element, and LED S (light emitting diodes) as the indication of light. VHSIC stands for Very High Speed Integrated Circuit. An FPGA is interfaced to LED S provide for centralized control of the traffic signals. FPGA is programmed in such a way to adjust their timing and phasing to meet changing traffic conditions. The circuit besides being reliable and compact is also cost effective. Keywords Programmable logic controllers (PLC); counters; field-programmable gate array (FPGA); LEDs; xilinx. I. INTRODUCTION Traffic congestion is a severe problem in many modern cities around the world. Traffic congestion has been causing many critical problems and challenges in the major and most populated cities. To travel to different places within the city is becoming more difficult for the travellers in traffic. Due to these congestion problems, people lose time, miss opportunities, and get frustrated. Due to traffic congestions there is a loss in productivity from workers, trade opportunities are lost, delivery gets delayed, and thereby the costs goes on increasing. To solve these congestion problems, it is required to build new facilities & infrastructure but at the same time make it smart. The only disadvantage of making new roads on facilities is that it makes the surroundings more congested. So for that reason there is a need to change the system rather than making new infrastructure twice. Therefore many countries are working to manage their existing transportation systems to improve mobility, safety and traffic flows in order to reduce the demand of vehicle use. It can be implemented using simple electronic components such as LED as traffic light indicator and a FPGA for auto change of signal after a pre-specified time interval. FPGA is the brain of the system which initiates the traffic signal at a junction. The LED S are automatically on and off by making the corresponding port pin high. At a particular instant only one green light holds and other lights hold at red. During transition from green to red, the present group yellow led and succeeding group yellow LED glows and then succeeding group LED changes to green. This process continues as a cycle [1], [2]. II. SYSTEM IMPLEMENTATION Generally, a traffic signal system has three lights. A green light on the bottom of the signal indicates the traffic to proceed, a yellow light in the middle warns the traffic to slow 151 and prepare to stop, and red light on the top indicates the traffic to stop. Figure 1 shows structure of any crossing consisting of four main roads and each road is divided into two main roads (straight and cross). Eight traffic signals L1, L2 L8 are used to design the system. There are four sensors on roads SW1, SW2, SW3 and SW4 which will be on the speed breaker of every lane. SW1, SW2, SW3 and SW4 sensors switches are linked with traffic signals on (L1, L6), (L2, L5), (L3, L8) and (L4, L7) respectively. Whenever any one of the sensors output is enabled, appropriate traffic starts to continue on the roads according to the position and priority of the switches and rest of the signals are off. Fig. 1. Structure of any four way crossing. In order to implement the applications indicated, a certain level of intelligence is required in both the traffic light and the regulator. Traditional traffic control systems are unidirectional, from regulator to traffic lights, without any response from the status of the traffic lights. One strategy for optimum control and traffic management is the coordination of traffic lights to create green waves. Currently, there exist

different strategies to calculate green waves [5]. The main purpose of these techniques is to reduce the number of stops and minimize the travel times in trips. Weight sensors and counters are used to control the traffic with ease. And send this information to TLC for control of the traffic system. III. MODELLING OVERVIEW FPGA is an Integrated Circuit consisting of an array of uncommitted elements; interconnection between these elements is user-programmable. Using Random Access Memory, high density logic is provided. FPGA is advantageous compared to microcontroller in terms of number of I/O (input & output) ports and performance. FPGA, an inexpensive solution compared to ASIC design; is effective with respect to cost in the case of production of large number of units but for fabrication in small number of units it is always costly and time consuming. The Design flow of FPGA shown in figure 2 is used to implement the traffic light controller using FPGA. The circuit description can be done using HDLs, followed by the functional simulation and synthesis. The design flow is followed till the timing simulation and then the generated file is downloaded into the target device (FPGA). [9]. The flow chart shown in figure 3 illustrates the actions to be taken by the users. Initially, all RED signals are ON and after few seconds, GREEN of a signal light in one particular direction will be ON to allow traffic in straight, right and left (left also sometimes needed) paths. The yellow light is split into two phases as yellow signal1 (Y1) and yellow signal2 (Y2). Pedestrian will be OFF in yellow signal1 (Y1) and pedestrian will be ON in yellow signal2 (Y2) so as to allow the pedestrians to cross the road. Controller can be easily per requirements can be done easily in the t i.e., suppose the traffic on main road should be allowed for more time and for side roads the traffic should be allowed for less time; then the clock is divided in such a way that for main road the clock period will be more and for side roads the clock period will be less, this is because the main road traffic is heavy when compared to the side road traffic [6]. In general TLC System will be having three lights (red, green and yellow) in each direction where red light stands for traffic to be stopped, green light stands for traffic to be allowed and yellow light stands for traffic is going to be stopped in few seconds. But yellow light is split into two phases and are included in the signalling lights along with red and green lights in order to indicate that in the first phase of yellow light, pedestrian will be OFF and in the second phase, pedestrian will be ON. The sequential order of the flow chart helps the programmer in the design regarding the flow of the program. North/ south-bound traffic will start with a green signal light while all the other lanes being red, the traffic will be stopped. After a predetermined time the north/south traffic light turns yellow and then to red, allowing the east/west signal light to be green and the same sequence as the north/south-bound traffic is followed. Fig. 3. Flow Chart for actions taken by certain road users. Fig. 2. Design flow to implement the traffic light controller using FPGA. At first the North traffic will be allowed to move and then traffic in the East, South and West direction will be allowed to move in sequence. Program modifications in Traffic Light The system will continue to be in this loop until an indication of a vehicle in a left turn lane occurs. When the signal light turns yellow, the controller scans the inputs. if high, then the program will jump to a subroutine which has a different light sequence. This sequence controls the main lights along with the left turn lights. After completion of the subroutine sequence, the program returns to the main loop. The flow chart can be applied to any number of road structures. a four road structure is considered in which the four directions labelled with four labels namely north, south, east and west. Each traffic lane has set of three traffic light signals, 152

red, yellow, and green, which operates similar to genera signalling lights i.e., it changes from red to green and then to yellow and after that back to red signal [4.] light controller system will be on assigning cnt and dir variables to 00 where cnt and dir respectively represent the states and the four directions in the state machine. IV. STATE DIAGRAM The TLC state diagram shown in Fig. 4 illustrates that whenever cnt=00 and dir=00,then green light in north direction will be ON for few seconds and red signal light in all other directions namely west, south and east will be ON when cnt=01 and dir=00 then yellow light (y1) will be ON for few seconds and when cnt=01 yellow light (y2) and pedestrian north will be ON and then dir is incremented by one and cnt is assigned to zero. Table I. Abbreviations used in TLC state diagram. Fig. 4. State diagram. So when cnt=00 and dir=01, the green light in east direction will be ON for few seconds and all red lights in other directions be ON. Whenever cnt=01 and dir=01 then yellow light (y1) will be ON for few seconds and when cnt=01 yellow light (y2) and pedestrian east will be ON and then dir is incremented by one and cnt is assigned to zero. So whenever cnt=00 and dir=10, the green light in south direction will be ON for few seconds and all red lights in other directions will be ON. Whenever cnt=01 and dir=10 then yellow light (y1) will be ON for few seconds and when cnt=01 yellow light (y2) and pedestrian south will be ON and then dir is incremented by one and cnt is assigned to zero. So whenever cnt=00 and dir=11, the green light in west direction will be ON for few seconds and all red lights in other directions will be ON. Whenever cnt=01 and dir=11 then yellow light (y1) will be ON for few seconds and when cnt=01 yellow light (y2) and pedestrian west will be ON and then dir is assigned to 00 and cnt is assigned to zero. This sequence repeats and the traffic flow will be controlled by assigning time periods in all the four directions. Table I specifies the abbreviations used in TLC state diagram. Labeling for each lane is done by assigning the direction label in order to distinguish the outputs from each other with their states. A traffic light controller program has two inputs namely clock and reset. When the two variables are 1 then the TLC will start working. Initially that is when reset is 0 then the red signal lights in all the directions will be ON and when reset is 1, then the traffic Now by the use of sensors we can change the delay from one state to other i.e. indirectly controlling the time for which traffic light remain green or red. For instance, if there is congestion in traffic on the lane L1 than the timing for green light in lane L1 will be increased so as to pass the traffic through [9]. Similarly with other lanes up and down counter will count the number of vehicles and will tell the condition of the traffic. And the weight sensors will be diverging heavy and light vehicles to their respective lanes. V. IMPLEMENTATION The state machine is coded using the Hardware Description Language, VHDL. Spartan-3E trainer kit is shown in figure 5. Figure 6 shows the FPGA Implementation of TLC. Using Xilinx ISE tool, this code is dumped into Spartan-3E FPGA trainer kit. Weight sensors and signal lights at each lane have their set of traffic light signal Red, Yellow, and Green. Operation of the signal light is similar to common traffic light signal. Along with these specifications, each lane has sensor of the corresponding road. The first sensor detects the presence of vehicles and its weight and the second sensor determines the volume of the traffic corresponding to that lane by counting incoming and outgoing vehicles. Through the two sensors, the expected time for green signal ON and when the signal light at each lane should be changed to green. 153

Fig. 5.Spartan-3E. Fig.7. RTL Schematic of Traffic Light Controller Fig. 6. FPGA implementation. VI. OBSERVATIONS As compare to other methods the implementation of traffic light system using FPGA is cost effective moreover the time delay can be changed simply by changing the software. Xilinx Spartan-3 FPGA are ideal for low-cost, high-volume applications and are targeted as replacements Compared to other structure description in VHDL language, state machine has program level bright, structure clear, easy to read and understand benefits. Using fixed point, parallel computational structures, FPGA provides computational speeds as much as 100 times greater than those possible with Digital Signal Processors (DSP). VII. TIMING RESULTS Timing Summary: Speed Grade: -4 Minimum period: 10.102ns Maximum Frequency: 98.990MHz Maximum output required time after clock: 10.512ns VIII. CONCLUSION The modern ways of multi-way traffic management improves the traffic condition up to a large extent. These more complex controllers can be well handled using states machines. Methods to reduce the states in the state machine also help in reducing the required hardware thus leading to low power and area efficient design. In addition to the general procedure the ChipScope Pro & VIO of Xilinx tool gives the flexibility in verification for the design with large number of inputs & outputs, also used for easy implementation of the design into the FPGA Spartan-3. This design uses the VHDL language. In the establishment of the general expectation function, it uses the hierarchical design to realize alternating lit the traffic lights, the countdown time display and vehicles' and pedestrians' safe passing command. The program's data can be set base on actual conditions (flexible modification). The system has several benefits over ordinary traffic light controllers such as simple structure, high reliability, low costs, easy installation and maintenance and so on. So, the system owns a broader application prospect. In the future, we will further improve the function of some modules, such as FPGA kit validation and connecting real time sensors. 154

REFRENCES [1] M. Voinescu, A. Udrea, and S. Caramihal On urban traffic modelling and control, Journal of Control Engineering and Applied Informatics, vol. 11, no. 1, 2009. [2] C. M. Mwangi, S. M. Kang ethe, and G. N. Nyakoe, Design and simulation of a fuzzy logic traffic signal controller for a signalized intersection, Scientific Conference Proceeding. [3] O. Tomescu, I. M. Moise, A. E. Stanciu, and I. Băţroş, Adaptive traffic light control system using AD HOC vehicular communications network, U.P.B. Science Bull., Series D, vol. 74, issue 2, 2012. [4] Design of an intelligence traffic light controller (ITLC) with VHDL, Taehee Hm, Chiho Lin, Dept. of Computer Science, Semyung University. [5] S. Nath, C. Pal, S. Sau, S. Mukherjee, A. Roy, A. Guchhait, and D. Kandar Design of an FPGA based intelligence traffic light controller with VHDL, International Conference on Radar, Communication and Computing (ICRCC), SKP Engineering College, Triuvannamalai, TN., India, pp. 92-97, 2012. [6] W. M. E. Medany, M. R. Hussain, FPGA-based advanced real traffic light controller system design, 4 th IEEE Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), CE Department, IT College, UOB, 32038 Bahrain, pp. 100-105, 2007. [7] X. Xiong, X. Zhang, Design and implementation of a real-time traffic light control system based on FPGA, ASEE 2014 Zone I Conference, University of Bridgeport, Bridgpeort, CT, USA, 2014. [8] M. A. Qureshi, A. Aziz, and S. H. Raza, An FPGA based semi automated traffic control system using verilog HDL. [9] B. Dilip, Y. Alekhya, P. D. Bharthi, FPGA implementation of advanced traffic light controller using verilog HDL, International Journal of Advanced Research in Computer Engineering & Technology (IJARCET), vol. 1, issue 7, 2012. 155

156