Optimizing FinFET Structures with Design-based Metrology

Similar documents
OPC Rectification of Random Space Patterns in 193nm Lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Optolith 2D Lithography Simulator

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Managing Within Budget

16nm with 193nm Immersion Lithography and Double Exposure

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Microlithography XXVIII

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Process Optimization

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Line edge roughness on photo lithographic masks

Design Rules for Silicon Photonics Prototyping

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

In-line focus monitoring and fast determination of best focus using scatterometry

FinFET vs. FD-SOI Key Advantages & Disadvantages

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Reducing Proximity Effects in Optical Lithography

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Bridging the Gap between Dreams and Nano-Scale Reality

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

What s So Hard About Lithography?

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Photolithography I ( Part 1 )

Registration performance on EUV masks using high-resolution registration metrology

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

ABSTRACT (100 WORDS) 1. INTRODUCTION

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Optical Proximity Effects, part 2

Lithography on the Edge

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Exhibit 2 Declaration of Dr. Chris Mack

Defense Technical Information Center Compilation Part Notice

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Overlay accuracy a metal layer study

EUVL getting ready for volume introduction

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Using the Normalized Image Log-Slope, part 2

DATASHEET CADENCE QRC EXTRACTION

Lithographic Process Evaluation by CD-SEM

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Process Variability and the SUPERAID7 Approach

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Mirror-based pattern generation for maskless lithography

Optical Proximity Effects

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Progress in full field EUV lithography program at IMEC

(Complementary E-Beam Lithography)

22-NM CMOS DESIGN LIMITS

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

Introducing 157nm Full Field Lithography

Large Area Interposer Lithography

PROCEEDINGS OF SPIE. Evolution in the concentration of activities in lithography

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

CD-SEM for 65-nm Process Node

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Improving registration metrology by correlation methods based on alias-free image simulation

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Double Exposure Using 193nm Negative Tone Photoresist

Synthesis of projection lithography for low k1 via interferometry

Imaging for the next decade

Advanced Patterning Techniques for 22nm HP and beyond

Optical Maskless Lithography - OML

Feature-level Compensation & Control

Enabling Breakthroughs In Technology

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Transcription:

Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir Azordegan, Gordon Abbott, and Zeev Kaliblotzky, KLA-Tencor Corporation Considering the engineering challenges in developing a reliable high-k gate stack that limits leakage current for planar transistors, fin field effect transistor (FinFET) structures may actually be needed at the 65-nm node. The decreasing sizes of FinFETs make it particularly important to obtain good 2D and 3D pattern fidelity in lithography and etching. This article examines characterization of a detailed 2D layout and creation of a complete model of the lithographic process using design-based metrology (DBM). This model can be used for model-based biasing of the FinFET structure. Introduction The characterization of fin field effect transistor (FinFET) structures, or other two-dimensional (2D) designs, becomes important with the decreasing sizes in future technologies. Robust measuring methods are therefore needed to characterize the changing shape of the structure during the different process steps. A good metrology approach is also important for the creation of robust simulation models. These models predict how a design will be patterned in resist. Some typical concerns for FinFETs that need characterization are: the rounded corner (top-down view); fin width variation through pitch and as function of fin length; line edge roughness (LER); and sidewall roughness. They all have an impact on the performance of the FinFET device. The magnitude of the rounded corner decreases the final length (or, source-drain distance) of the FinFET (Figure 1A). One of the problems stemming from this rounding phenomenon is the significant increase in fin width W when the fin length L is decreasing (Figure 1B). Variation in fin width, due to the rounding of the fin opening, will impact short channel effects. This effect increases for shorter fin lengths. 1 As for most structures, critical dimension (CD) variations through pitch (Figure 1C) and as a function of fin length are undesirable, because they render the devices non-reliable. 1 Since LER and sidewall roughness have an influence on electrical behavior, it s also important to control them and keep them as low as possible. 2,3 (LER and sidewall roughness will not be addressed in this paper). Different methods can be used to reduce some of these effects. 4 For example, adding serifs in combination with a conventional illumination, or applying strong off-axis illumination settings, like annular, will reduce the rounding of the corners. But, what will happen with the proximity behavior? The annular exposure setting will deteriorate the fin width variation through pitch, while the effect of using conventional illumination on the through pitch behavior will be smaller. Many variables play a role in the optimization of a 2D pattern, some with a larger effect than others. Two simple exposure settings will be tested in this first case: a conventional one and an annular one (the latter in combination with some basic serif introduction). Since a full characterization of the 2D structure is wanted, design-based metrology (DBM) is introduced to decrease the effort that the creation of the measurement job takes. DBM creates an automatic CD scanning electron microscope (SEM) job with hundreds of sites, starting from the design in GDS format. This development takes approximately one hour, whereas an engineer will spend several hours behind the SEM to create the job manually. DBM is used here in combination with an an off-line measure- 6 Yield Management Solutions

Figure 1: The effect of the rounded corner: a) on the length of the FinFET. Illustrated with a 0.63NA, conventional 0.89s exposure (upper-left), and a 0.75NA, annular 0.89 outer-s and 0.65 inner-s (upper-right); b) as a function of the decreasing length of the FinFET; c) due to proximity effects, isolated features are printed smaller than the dense. In the FinFET structure, this proximity effect is seen between the inner (dense) and outer (semi-isolated) fins. ment tool, enabling image-based measurements on SEM images, to further simplify the process. For two exposure settings, the 2D behavior of the FinFETs is studied intensively to build a resist model that will be used to optimize future reticle designs at IMEC. Experimental setup All exposures are performed on an ASML PAS5500/1100 step-and-scan system, interfaced with a TEL Clean Track Act8. Maximum numerical aperture (NA) is 0.75. The total system is charcoal-filtered to prevent airborne base contamination. Top-down CD SEM metrology is done using a KLA-Tencor ecd-2 CD SEM tool. For the baseline technology integration work (front-end of line (FEOL)), a 193 nm resist from JSR, AR237J at 230 nm film thickness (FT), is used on Brewer Science ARC29a organic bottom anti-reflective coating (BARC), FT = 77 nm. The stack for FinFET patterning (or, the active layer) is 65 nm silicon on 150 nm buried oxide (or, silicon-on-insulator (SOI) stack). A 60 nm TEOS oxide hard-mask (HM) is used during the patterning process for two reasons: to provide etch resistance for the silicon etching and to enable CD (HM) trimming. A binary mask (BIM) is used to print an active pitch of 350 nm; the CD at mask level is 120 nm. The litho target is set at 100 nm. This target is chosen to have acceptable process latitudes (CD control) in litho. Two different modules are used in the experiments. On the one hand, different actual FinFET devices are explored for a full characterization. On the other hand, regular Mentor Graphics test pattern structures are used to build the resist model. Two parameters in the FinFET device are fixed: the width of the fins and the pitch, 120 nm and 350 nm, respectively (both 1X on reticle). Three other parameters in the FinFET device are varied throughout the experiment. The first one is the length of the fin: the shortest is 180 nm and the longest is 1,45 µm (see Figure 6). The second variable is the biasing of the width of the outer fin in a multiple fin structure (see Figure 7). The outer fin width is varied from 90 nm to 150 nm in steps of 10 nm. The last variable is the placement of the serifs (See Table 1 and Figure 8). The size of the serif is in all cases 90 nm by 90 nm. Two kinds of placements are present. In the first, the placement consists of the serif symmetric with respect to the corner (called OPC2), i.e. overlap in x- and y-direction is the same. The overlap is 75 nm, meaning that 15 nm of the original design is removed in both directions. In the non-symmetric case (called OPC1), the overlap in y-direction is decreased; 40 nm is removed from the original design. OPC0 OPC1 OPC2 No serifs 90 nm X 90 nm 90 nm X 90 nm Non-symmetric Symmetric (with (with respect to respect to the corner) the corner) Table 1: Sizes and placement of serifs on the FinFET design. Two exposure conditions are studied in more detail: a 0.63NA conventional 0.89s and a 0.75NA annular 0.89 outer s and 0.65 inner s. www.kla-tencor.com/magazine 7

Methodology DBM methodology The DBM tool used here was developed by KLA-Tencor. 5 As input, it requires the GDS of the design and the coordinates of the measurement sites. With the coordinates of the sites of interest in the design, the DBM tool creates the pattern recognition templates for each site (Figure 2). The 2D pattern on the clip is compared with the 2D pattern on the wafer until an overlap between the two is found (the pattern recognition). At this point, the DBM tool has all it needs to create the automatic CD SEM measurement: coordinates of the positions and pattern recognition templates. If a measurement is needed, the tool defines by itself which measurment algorithm is used. There is also the possibility to acquire SEM images at each site for further analysis, as an off-line measurement on SEM images is available. Figure 2: Diagram of DBM tool creating a CD SEM job. As input, only a design in GDS format and coordinates of the measurement sites are needed. The off-line measurement tool KLA-Tencor has developed an off-line measurement tool that enables indirect measurements based on the saved SEM image. Possible measurement algorithms are: minimum or maximum gap width, the rounded corner algorithm, a contact-hole algorithm, and a line-width algorithm. All algorithms can measure multiple structures on an image, as shown in Figure 3. The minimum gap width algorithm is used later in the work to determine the smallest fin width. This approach is preferred because the standard line-width algorithm gives an average value of the line-width in the chosen measurement box. This means that any rounding along the length of the fin is not taken into account. The maximum gap width algorithm is applied to measure the fin length. The rounded corner algorithm determines the magnitude of the rounding (top-down) of the corners in the FinFET device. Moreover, the goal is to use all these Figure 3: a) minimum gap; b) maximum gap; c) rounded corner. results to build a first resist model to optimize future FinFET designs. A useful feature in this off-line measurement tool is the ability to measure several similar sites in batch mode. Creation of the resist model The software package used for the model building in this paper is Calibre WorkBENCH from Mentor Graphics. The 216 sites in the Mentor Graphics line test module are automatically measured by combining the two tools described previously. For every change in exposure setting, resist and/or substrate stack, new measurements are needed for the calibration of the model. First a setup file is created, including the information of exposure setting and substrate. Then a default resist model is used to simulate the 216 sites of interest to compare them with the measured data. Immediately, the Model Flow Tool of Calibre creates a new resist model. A few iterations are needed to define the best agreement. Between two iterations, it is useful to check which sites have a good or bad correlation by using the Model Center of Calibre. The bad ones can be removed if there is doubt on the measured value. Finally, a bestfit resist model is defined. Results and discussion In total, 364 sites of interest are defined in the chip design to characterize the 2D behavior of the FinFET and to build the resist model. The CD SEM measurement was made by using the DBM tool since, as indicated before, it takes a lot of time to create the CD SEM measurement parameters manually. Characterization of the FinFET structure In this section, the FinFET will be described through discussion on: the rounded corner (top-down view), the fin width versus length, and fin width versus pitch. 8 Yield Management Solutions

Rounded Corner One of the main concerns with decreasing the size of the fins is the magnitude of the rounded corner, since it has an impact on the effective length and width of the shorter fins. The rounding of the fin is characterized by the difference in area between the edge of the FinFET and the surrounding rectangle. The result for the four corners is added up. This can be done automatically by using the rounded corner algorithm on the off-line measurement tool. By moving towards off-axis illumination settings such as annular or quasar, the corners become more squared (Figure 1A). 4 As noted previously, two exposure conditions are used: a 0.63NA, conventional 0.89s and a 0.75NA, annular 0.89/0.65 s o /s I. The magnitude of the rounded corners is smaller for the fins shorter than 750 nm when an annular exposure setting is used. This is not the case for the longer fins; both illumination settings give a similar result. The magnitude of the rounding increases with increasing fin length, independent of the exposure setting (Figure 4). The reason why a larger rounding is observed for the longer fin is that these corners are smoother. They have a longer tail compared to the shorter fins (Figure 5). Figure 4: The magnitude of the rounding versus the fin length L for OPC0 (no serifs present). Two exposure settings are compared: a 0.63NA, conventional 0.89s and a 0.75NA, annular 0.89/0.65 s o /s I. Introduction of serifs decreases the magnitude of rounded corner. This can be seen immediately and visually in Top Down SEM (TD-SEM) (Figure 6). Analysis with the rounded corner algorithm results in the same conclusion (Figure 7). As before, the magnitude of the rounded Figure 6: The FinFETs with and without serifs on design, on reticle and printed in resist with a 0.75NA, annular 0.89/0.65 s o /s I illumination setting. Figure 7: The magnitude of the rounded corner versus the fin length L for the different OPC versions (a 0.75NA, annular 0.89/0.65 s o /s I ). Figure 5: Rounding is more pronounced for the longer fins due to a longer tail from one edge center to the other center. Figure 8: The magnitude of the rounded corner versus the fin length L for OPC1. Two exposure settings are compared (0.63NA, conventional 0.89s and 0.75NA, annular 0.89/0.65 so/si ). The same effect is observed for OPC2. www.kla-tencor.com/magazine 9

corners is smaller for the fins shorter than 750 nm when an annular exposure setting is used (Figure 8). But for the longer fins, both exposure settings give the same result when serifs are used, as has been seen when there are no serifs used. The use of off-axis illumination settings, like annular, helps in filtering out the part of the light falling in the NA pupil that is not relevant to the imaging of the densest structures. This improves the contrast, and thus the imaging, of smaller pattern details, like corners. Width versus length of the fin Another concern is the width variation as a function of varying fin length induced by optical proximity effects. The length and width of the fin can be measured with a standard line/space width CD measurement algorithm or with the newly developed minimum/ maximum gap width algorithm. Fins with a length below 530 nm are chosen to be checked for fin width variation caused by varying length. The same region will be used to characterize the width variation caused by the difference in pitch, seen in FinFET devices as the width variation between inner (dense) and outer (semi-isolated) fin. First, the influence of varying length on the width of the fins is checked. The range in width variation in the region of interest (180-530 nm length) is 60 nm for the conventional exposure and 71 nm for the annular exposure (Figure 9). Part of this difference is due to the impact of the rounded corner on the width for shorter fins. The larger range of the annular exposure setting (10 nm) is probably due to the larger proximity effect when an off-axis exposure setting is used. Comparing the lengths of the fins for the two different exposures shows that for the annular setting the difference with the designed length is smaller. Figure 9: Fin width as function of the designed length (OPC0). The change is larger for 0.75NA, annular 0.89/0.65 s o /s I than for 0.63NA, conventional 0.89s. As previously shown, introduction of serifs has a positive effect on the rounded corners. But how will it influence the width variation induced by the length of the fin? The length of the fin increases when serifs are used in the design. The increase is most pronounced for OPC1 (or, the non-symmetrically placed serifs). The influence of the serifs on the width variation induced by the length of the fin is large. For example, together with conventional exposure, the width variation caused by the length has completely disappeared in the region of interest (Figure 10). So, this confirms what was stated before, A part of this width difference is due to the impact of the rounded corner on the width for shorter fins. In the case of OPC2 (or, symmetrical serifs) in combination with conventional exposure, the shorter fins become even smaller than the longer ones. Also, when annular exposure is used, a large improvement is seen (Figure 10), but a width variation of 20 nm is still observed. Figure 10: Smaller proximity effect for both OPC1 and OPC2, with: a) 0.63NA, conventional 0.89s; b) 0.75NA, annular 0.89 0.89/0.65 s o /s I. 10 Yield Management Solutions

For both exposure settings, the necessary bias is decreased when serifs are placed. For the conventional exposure, no bias is needed. Also, for the annular setting, the bias is decreased to 20 nm bias (an outer fin of 140 nm). The effect for the non-symmetric and symmetric serifs is approximately the same. Building a resist model The combination of DBM with the off-line measurement tool is powerful for characterizing 2D patterns. It is also useful in retrieving the needed measurements for the creation of a resist model. On the used chip design to pattern the FinFET devices, there is also a Mentor Graphics line test pattern available. This pattern consists of 216 different sites: isolated lines, dense line patterns, and end-of-line structures (Figure 12). These structures have also been used to build a resist model. Figure 11: Ratio of inner and outer fin width versus the designed width of the outer fin: a) 0.63NA, conventional 0.89s; b) 0.75NA, annular 0.89 0.89/0.65 s o /s I. Width versus pitch Known proximity effects for standard line and space patterns will also play a role here and will become larger when off-axis illumination settings are used. In this paper, the pitch of the FinFET device is fixed at 350 nm, but the proximity effect is seen as a difference between inner and outer fin (Figure 1C). This result can be presented in two ways. The first approach is to plot the fin widths versus length. If the same width on design is used for inner and outer fin, the inner fin is printed larger than the outer fin. This is more pronounced for the shorter fins. A second representation is to plot the ratio between inner and outer fin width (Figure 11). In this way, it s possible to define the best bias needed for the outer fin to print all fins on target (ratio = 1). When using conventional exposure, a bias of 10 nm on the outer fin (an outer fin of 130 nm) is enough to compensate for the optical proximity. When the annular setting is used, a bias of 30 nm is needed to compensate for this effect. Figure 12: Example of the different patterns in the Mentor Graphics line test As mentioned before, it is not really user-friendly to define the CD SEM measurement needed for this type of work manually. Thus, the DBM tool is used to automate it. The sites are grouped per similar design, because for most similar sites it is possible to do the analysis with the off-line measurement tool in batch mode. The CD data together with the coordinates and specifications of the sites are put in a data file format, readable as a sample file within Calibre. module: a) dense lines; b) dense line ends; c) an isolated line end; and d) an inverse line end. www.kla-tencor.com/magazine 11

Unfortunately, for every change in exposure setting, resist, and substrate stack, new measurements are needed for the recalibration of the model. The fitting is done using the Model Flow Tool and the Model Center Tool of Calibre WorkBENCH, as explained previously. Once a final model is defined, it is verified with the experimental data via two methods: top-down comparison of the model with the SEM image (a visual comparison) and a comparison of the actual measurements on wafer with measurement results retrieved from the simulated clips. As an example, the results for 0.63NA, conventional 0.89s are shown (Figure 13). The correlation between the measured data and the model is 0.976. The simulated 2D profiles overlap very well with the SEM images. A slight necking is observed on the simulated edge contour plots for the longer fins, but it is not present on the SEM images. This overlap illustrates the usefulness of this approach to check the accuracy of a resist model. As a second check, actual top-down views on wafer are compared with simulated clips. The magnitude of the rounded corner gives similar results for both cases. For the longer fin lengths alone, the magnitude of the rounded corner is slightly smaller on the simulated clips than on the actual CD SEM images. This occurs since the tail (seen before on longer fins, Figure 5) on the simulated clips is not as long as on the real pattern in resist. The model correlates very well with the actual data and will now be used to optimize future FinFET designs to decrease optical proximity effects. Conclusions The combination of design-based metrology with off-line image-based measurements is a useful tool to describe different parameters of a 2D pattern. The capability for 2D characterization is shown using a FinFET pattern. Rounded corner, width variation, introduction of serifs, biasing, and different exposure settings are the parameters that have been varied to gain an understanding of the patterning behavior of the 2D structure. A conventional illumination combined with serifs seems to be the best choice for the chosen design (120/350 nm - width/pitch). This exposure condition is beneficial for the decrease in width variation and to provide a less rounded corner. When smaller FinFETs are needed in the future (not only in width, but also in density), an annular setting will give better resolution and a bias will be needed to tackle the proximity effect. The combination of DBM and off-line image-based measurements is also useful to retrieve the input needed for the creation of a resist model. It is shown that a resist model indeed can predict the patterning in resist (etch is not included in this paper). Acknowledgments The authors would like to thank Nadine Collaert (IMEC integration) and Ivan Pollentier for their useful discussions, as well as the algorithm group at KLA-Tencor for their support. References 1. S. Xiong and J. Bokor, Sensitivity of double-gate and FinFET devices to process variations, IEEE Trans. Electron Dev. 50 (11), p2255-2261, 2003. 2. J. Croon et al., Line Edge Roughness: Characterization, Modeling and Impact on Device Behavior, IEDM, Electron Devices Meeting, p307-310, 2002 3. L.H.A. Leunissen et al., Full Spectral Analysis of Line Width Roughness, SPIE, Vol5752, p499-509, 2005 4. M. Ercken et al., Challenges in Patterning 45nm Node Multiple-Gate Devices and SRAM cells, Interface 2004 5. C Bevis Design driven inspection or measurement for semiconductor using recipe US 6,886,153 (2005) This paper was originally published at INTERFACE 2005, the FUJI FILM Electronic Materials Microlithography Symposium. 12 Yield Management Solutions

May 22 24, 2006 Sheraton Hotel Boston, Massachusetts, USA www.semi.org/asmc The 17th Annual IEEE/SEMI ASMC 2006 Advanced Semiconductor Manufacturing Conference People Processes Controls ASMC 2006 continues the rich and established tradition of this premier conference dedicated to unveiling breakthroughs in semiconductor manufacturing from wafer fab productivity and profitability to advanced process controls and device yield. With more than 90 peer-reviewed technical papers, and poster sessions, ASMC 2006 attracts engineers and managers from around the world.to register visit us at www.semi.org/asmc. Media Sponsors: Sponsored by: