Cognitive Radio Platform Technology

Similar documents
High Performance Cognitive Radio Platform with Integrated Physical & Network Layer Capabilities

Spectrum & Cognitive Radio Research

Software Radio, GNU Radio, and the USRP Product Family

Spectral Monitoring/ SigInt

An Introduction to Software Radio

Adaptive Wireless Networks Using Cognitive Radios as a Building Block

New Technologies for Software Defined Radio. Farris Alhorr. National Instruments Business Development Manager, IndRAA

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS

Software Radio Satellite Terminal: an experimental test-bed

Ettus Research USRP. Tom Tsou 3rd OpenAirInterface Workshop April 28, 2017

DTP4700 Next Generation Software Defined Radio Platform

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

Software Radio Network Testbed

Distributed spectrum sensing in unlicensed bands using the VESNA platform. Student: Zoltan Padrah Mentor: doc. dr. Mihael Mohorčič

RF and Microwave Test and Design Roadshow Cape Town & Midrand

SDR OFDM Waveform design for a UGV/UAV communication scenario

ARCHIVES: Benchmarking Single-Point Performance on National Instruments Real-Time Hardware

Supplemental Slides: MIMO Testbed Development at the MPRG Lab

High Linearity Wideband RF-to-Digital Transceiver

Software defined radio transceiver (SDR) CW & RTTY Skimmer Server Weak Signal Propagation Reporter (WSPR)

Open Source Software Defined Radio Platform for GNSS Recording, Simulation and Tracking

Cognitive Radio Communications for Dynamic Spectrum Access. Outline

DURIP Distributed SDR testbed for Collaborative Research. Wednesday, November 19, 14

C700 A New Domain in Radio System Design & Verification

Cognitive Radio Networks Part II

2015 The MathWorks, Inc. 1

Cognitive Radio for Future Internet Survey on CR Testbed & Product

From Antenna to Bits:

Cognitive Radio

A Novel Design In Digital Communication Using Software Defined Radio

Bridge RF Design and Test Applications with NI SDR Platforms

Director: Prof. Dongfeng Yuan UK-China Science Bridges Project

NI Technical Symposium ni.com

What s Behind 5G Wireless Communications?

Building Complex Systems with COTS Software Defined Radios

1. Introduction. 2. Cognitive Radio. M. Jayasri 1, K. Kalimuthu 2, P. Vijaykumar 3

What is New in Wireless System Design

Prototyping Next-Generation Communication Systems with Software-Defined Radio

Implementation of a BPSK Transceiver for use with KUAR

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

Challenges of 5G mmwave RF Module. Ren-Jr Chen M300/ICL/ITRI 2018/06/20

Transmitting Multiple HD Video Streams over UWB Links

Figure 1 nanobee 4x Patrick Henry Drive Santa Clara, CA

To Fragment or Not To Fragment: Viability of NC OFDMA in Multihop Networks. Muhammad Nazmul Islam WINLAB, Rutgers University

Enabling Future Wireless Technology Research through Flexible & Modular Platforms

Development of Software Defined Radio (SDR) Receiver

Future radio access implementation & demonstration Scandinavian workshop on testbed-based wireless research November 27 th 2013

Specifications and Interfaces

GC5325 Wideband Digital Predistortion Transmit IC Solution. David Brubaker Product Line Manager Radio Products February 2009

Radio Testbeds Using BEE2

Digital Signal Processing Lecture 1. Introduction. Dr. Shoab Khan

Design and Test of FPGA-based Direction-of-Arrival Algorithms for Adaptive Array Antennas

High Linearity Wideband RF-to-Digital Transceiver

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument

Advances in Wireless Communications: Standard Compliant Models and Software Defined Radio By Daniel Garcίa and Neil MacEwen

GENERIC SDR PLATFORM USED FOR MULTI- CARRIER AIDED LOCALIZATION

Radio with COTS Technologies. ATE Systems Engineer

Designing the MIMO SDR-based LPD Transceiver for Long-range Robot Control Applications

Developing and Prototyping Next-Generation Communications Systems

INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES "#$ " UMR 6164

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR

5 th Generation Non-Orthogonal Waveforms for Asynchronous Signaling. Final Review. Brussels, Work Package 5

Using SDR for Cost-Effective DTV Applications

Wireless TDMA Mesh Networks

Software Design of Digital Receiver using FPGA

Implementation of High-throughput Access Points for IEEE a/g Wireless Infrastructure LANs

Software radio. Software program. What is software? 09/05/15 Slide 2

Development of utca Hardware for BAM system at FLASH and XFEL

Implementing Software Defined Radio a 16 QAM System using the USRP2 Board

Project in Wireless Communication Lecture 7: Software Defined Radio

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS

PoC #1 On-chip frequency generation

Overview: Trends and Implementation Challenges for Multi-Band/Wideband Communication

Wideband Down-Conversion and Channelisation Techniques for FPGA. Eddy Fry RF Engines Ltd

SDR Platforms for Research on Programmable Wireless Networks

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6.

PXI Vector Signal Transceivers

Cognitive Radio: Fundamentals and Opportunities

Low-Power Communications and Neural Spike Sorting

Programmable Wireless Networking Overview

Rapid Prototyping of Wireless Physical Layer Modules Using Flexible Software/Hardware Design Flow

Redefining RF Instrumentation

Digital Transceiver V605

Nutaq OFDM Reference

Communicator II WIRELESS DATA TRANSCEIVER

A HYBRID DSP AND FPGA SYSTEM FOR SOFTWARE DEFINED RADIO APPLICATIONS

RPG XFFTS. extended bandwidth Fast Fourier Transform Spectrometer. Technical Specification

Spectrum Detector for Cognitive Radios. Andrew Tolboe

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope

VLSI Implementation of Software Defined Radio

DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE

RF and Communications

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU

ASR-2300 Multichannel SDR Module for PNT and Mobile communications. Dr. Michael B. Mathews Loctronix, Corporation

An IR UWB Research and Development Platform for a

Hardware-based Image Retrieval and Classifier System

High Resolution Software Defined Radar System for Target Detection

What s Behind 5G Wireless Communications?

WIRELESS SENSOR NETWORK WITH GEOLOCATION

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT

Transcription:

Cognitive Radio Platform Technology Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu seskar (at) winlab (dot) rutgers (dot) edu

Complexity/Performance Tradeoffs Efficient operation requires radios that can: Discover Self-Organize into hierarchical networks Cooperate Collaborate Protocol Complexity (degree of coordination) Unlicensed band + simple coord protocols Internet Internet Server-based Server-based Etiquette Etiquette Internet Internet Leasing Leasing Static Assignme Static Assignme nt nt Unlicensed Unlicensed Band Band with DCA with DCA (e.g. 802.11x) (e.g. 802.11x) Reactive Reactive Rate/Power Rate/Power Control Control Radio-level Radio-level Etiquette Etiquette Protocol Protocol Ad-hoc, Ad-hoc, Multi-hop Multi-hop Collaboration Collaboration Low power FGPA s or massively parallel CPUs in handhelds? UWB, UWB, Spread Spread Hardware Complexity Cooperative Cooperative Coding, Coding, Signal Processing Signal Processing cognitive radio schemes Agile Agile Wideband Wideband Radios Radios Do we wait for Moore s law to catch up or we need new hardware architectures for CR? Problems with existing (experimental) platforms: Analog issues: range (frequency, power), agility, cost, future proofing Digital issues: power consumption, performance vs. flexibility, cost, future proofing Ease of use issues: how do we program/control these platforms? Open Access + smart radios

Low Cost Programmable Radio (LCPR) Cost effective solution tailored for ISM/UNII bands No on-board memory Modest FPGA resources (Spartan XC3S400) 8-bit CPU USB host transfer Used as noise generator/spectrum sensor in Orbit

WARP Platform (Rice University) Xilinx Virtex-II Pro (Xilinx XC2VP70 ) FPGA 10/100 Ethernet 4 Daughtercard Slots RS-232 UART 16-bit Digital I/O Radio dauthercard 2 x 160MS/s 16-bit DAC 2 x 65MS/s 14-bit dual-adc dual-band ISM/UNII RF (2400-2500MHz, 4900-5875MHz) - MIMO capable 20 or 40MHz baseband bandwidth Design flows: Real-time OFDM Non-real-time (interfaces for MATLAB ) SISO and MIMO

USRP/USRP2 with GNU Radio Platform Pentium based SDR: Open-source GNU Radio Software - signal processing code on host computer in C++ (including FSK, PSK, AM, ASK, NBFM. WBFM, 802.11) IF 0-100 MHz (50 MHz transmit) 128 MS/s DAC 64 MS/s ADC USB bus (W = 8 MHz) Channelizer code in Altera Cyclone FPGA 2 RF board slots IF -200 MHz (80 MHz receive) 100 MS/s 14-bit dual (IQ) ADCs 400 MS/s 16-bit dual (IQ) DACs Gigabit Ethernet (W = 25 MHz) Bigger FPGA w/multipliers (Spartan 3) with 1 MB high-speed on-board SRAM and high speed serial expansion interface 1 RF board slot Selection of RF daughtercards (DC-5.9 GHz): DC-30 MHz, 50-870 MHz (Rx only), 800-2400 MHz (Rx only), 400-500 MHz, 800-1000 MHz, 1150-1450 MHz, 1500-2100 MHz, 2300-2900 MHz, 2400-2500+4900-5840 MHz

WiNC2R Platform WINC2R System Two 400 MSPS, 14-bit A/D channels Two 500 MSPS, 16-bit DAC channels Xilinx Virtex5, SX95T FPGA 1GB DDR2 DRAM 4MB QDR-II SRAM 8-lane PCI Express Host Interface ISM/UNII RF (2.4/5 GHz) Xilinx Virtex-5 LX50 FPGA 10/100/1000 Ethernet PHY 16 MB Flash 64 MB DDR2 SDRAM Cypress USB 2.0 controller 10-bit LVDS receive and transmit interfaces Dual RF front-end: 12-bit 64 MS/s ADC 12-bit 64 MS/s DAC ISM/UNII RF (2.4/5 GHz)

Virtual Flow Pipelining Architecture Hardware engine in charge of processing flow control Hardware and software modules atomic modules controlled by hw engine Properties: Low complexity (gates) High performance Simple to program High utilization of resources Programmable processing of phy and higher layer at speed target rate 500 Mbps Event driven processing model Virtualization support for controlled sharing of processing resources across multiprotocol flows Simple programming model System level: combining the hw/sw functions modules to satisfy the protocol, performance and time constraints. Software defined function

Cognitive Experiments at Scale ORBIT radio grid testbed currently supports ~15/USRP and USRP2 (GNU) radios, 100 low-cost spectrum sensors, WARP and WinC2R platforms Plan to reach ~64 cognitive radio nodes (Q1 2009) Suburban 500 meters Office 30 meters ORBIT Radio Grid 20 meters But how do we do large scale experiments in realistic environments? Urban 300 meters Radio Mapping Concept for ORBIT Emulator 400-node Radio Grid Facility at Tech Center Programmable ORBIT radio node Current ORBIT sandbox with GNU radio GENI advanced technology demonstrator of cognitive radio networks Nation-wide(experimental) cognitive radio spectrum allocation URSP CR board