Design and performance evaluation of a low-power dataline SRAM sense amplifier

Similar documents
Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

A Low-Power SRAM Design Using Quiet-Bitline Architecture

Design of a high speed and low power Sense Amplifier

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

Figure 1 Typical block diagram of a high speed voltage comparator.

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Review of Different Sense Amplifiers For SRAM in 180nm Technology

Current Mode Sense Amplifiers Design in 0.25um CMOS Technology

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

A new 6-T multiplexer based full-adder for low power and leakage current optimization

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

International Journal of Modern Trends in Engineering and Research

Ultra Low Power High Speed Comparator for Analog to Digital Converters

SCALING power supply has become popular in lowpower

STATIC cmos circuits are used for the vast majority of logic

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

CHAPTER 3 NEW SLEEPY- PASS GATE

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

Design of a Capacitor-less Low Dropout Voltage Regulator

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Investigation on Performance of high speed CMOS Full adder Circuits

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Low power 18T pass transistor logic ripple carry adder

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

Design and Implementation of High Speed Sense Amplifier for Sram

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Comparative Study on CMOS Full Adder Circuits

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

Performance Improvement of Low Power Double Tail Comparator in UDSM CMOS Technology

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Charge recycling 8T SRAM design for low voltage robust operation

Low-Power Digital CMOS Design: A Survey

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

Electronic Circuits EE359A

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Low Power, Area Efficient FinFET Circuit Design

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

Implementation of dual stack technique for reducing leakage and dynamic power

Design of Low Power Double Tail Comparator by Adding Switching Transistors

SRAM Read-Assist Scheme for Low Power High Performance Applications

Low Power High Speed Differential Current Comparator

Implementation of Low Power Inverter using Adiabatic Logic

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

FOR contemporary memories, array structures and periphery

Low Transistor Variability The Key to Energy Efficient ICs

Implementation of Carry Select Adder using CMOS Full Adder

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Design of CMOS Based PLC Receiver

A Survey of the Low Power Design Techniques at the Circuit Level

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

Design & Analysis of Low Power Full Adder

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

High and Low Speed Output Buffer Design with Reduced Switching Noise for USB Applications

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

A Literature Survey on Low PDP Adder Circuits

A design of 16-bit adiabatic Microprocessor core

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

An energy efficient full adder cell for low voltage

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain

RECENTLY, low-voltage and low-power circuit design

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

Implementation of Low Power High Speed Full Adder Using GDI Mux

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

TODAY S digital signal processor (DSP) and communication

THE power/ground line noise due to the parasitic inductance

Transcription:

Design and performance evaluation of a low-power dataline SRAM sense amplifier The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Fu, Haitao, Kiat-Seng Yeo, Anh-Tuan Do, and Zhi-Hui Kong (2010). "Design and performance evaluation of a low-power data-line SRAM sense amplifier." Proceedings of the 2009 12th International Symposium on Integrated Circuits, ISIC'09 (Piscataway, N.J.: IEEE): 291-294. 2010 IEEE http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5403784 Institute of Electrical and Electronics Engineers Version Final published version Accessed Fri Jan 26 21:30:31 EST 2018 Citable Link Terms of Use Detailed Terms http://hdl.handle.net/1721.1/59362 Article is made available in accordance with the publisher's policy and may be subject to US copyright law. Please refer to the publisher's site for terms of use.

Design and Performance Evaluation of a Low-power Data-line SRAM Sense Amplifier Haitao Fu Department of Materials Science and Engineering Massachusetts Institute of Technology Cambridge, MA, USA Email: sidneyfu@mit.edu Kiat-Seng Yeo Email: eksyeo@ntu.edu.sg Anh-Tuan Do Email: atdo@ntu.edu.sg Zhi-Hui Kong Email: zhkong@ntu.edu.sg Abstract The SRAM which functions as the cache for system-on-chip is vital in the electronic industry. The heavy bitand data-line capacitances are the major road blocks to its performance. A high-performance SRAM is proposed using a 1.8 V/0.18 µm CMOS standard process from Chartered Semiconductor Manufacturing Ltd (CHRT). It incorporates a discharging mechanism that helps eliminating the waiting time during the read operation, hence offering a faster sensing speed and lower power consumption. Our post-layout simulation results have shown that it improves the sensing speed and power consumption by 51.4%, and 62.47%, respectively when compared with the best published design. The total Power-Delay-Product (PDP) is 81.79% better. Furthermore, it can operate at a supply voltage as low as 0.8 V with a high stability to the bit-line capacitances variation and mismatch. I. INTRODUCTION SRAM plays an increasingly important role in System-on-Chip (SoC) applications [1]. SRAM circuit becomes crucial in present day IC design with high requirements. With fast growing technology, IC design is pushing memory cell to be highly integrated. As a result, the power dissipation and speed are affected with increasing bit line capacitances. Although various designs have been proposed to exhibit low power and high speed performance, SRAM with higher speed and density are still in demand [5]. In addition, low voltage operation is inevitable for future VLSI [2]. Circuits which are able to work under low voltage supply and maintain a good performance will definitely outperform other circuits in the long run. The major problem that affects the performance of current design is the long interconnect bit-lines. They contribute a lot of difficulties to the speed as well as power dissipation. The primary bottleneck on speed within an SRAM memory core occurs at two critical circuits: the bit line multiplexer and the SA interface [3]. SRAM design is constrained by its compact area requirement, which forces the use of near minimum sized transistor for the memory cell design. The small memory cell must drive large capacitive bit-lines resulting in a very small signal swing. This will limit the speed of any sensing scheme that requires the development of a specific level of differential voltage to initiate the sensing operation [4]. Hence, the key strategy of overcoming the speed and power limitation is to diminish the bit-line swing. Therefore, sense-amplifier circuits must be able to detect a small signal generated on data lines. The current mode SA [3] innovated in 1991 uses 4 PMOS transistors as a current conveyor to reduce the voltage swing on the bit-line and hence diminish the speed bottlenecks caused by the heavily loaded bit lines. This is the most commonly used techniques to reduce the delay time as well as power consumption from about 5ns for conventional voltage-mode operation to less than 0.3ns for current-mode signals [3]. In spite of the superior performance brought by current-mode sense amplifier, the key drawback of these designs is that it is difficult to control the latching time during the read operation, because an early latching often causes an error in operation [6]. In this paper, a new SA is proposed. It exhibits a superior performance to the previous designs in terms of both sensing speed and power consumption. In addition, it is capable to work under the voltage supply as low as 0.8V, with process variation tolerance. By using a data-line controller, the undesirable crossing phenomenon caused by early latching is better controlled. This has improved the sensing speed to 0.49ns in post-layout simulation. Meanwhile it pulls data-line voltages close to V DD level, so as to reduce the voltage swing on the data-line capacitors during a read operation. It brings down the power consumption and further enhances the speed. II. PROPOSED DESIGN The proposed SA is presented in Figure 1. Standard 6T memory cells are used to verify the operation of the SA. The SA consists of two parts: the data line control circuit and the cross coupled latch SA (CCLSA) which will sense the differential voltages developed on the data-lines. The proposed circuit uses a modified cross-coupled SA to realize the fast sensing scheme. Furthermore, the current amplifiers and the current conveyor are removed from the bit-lines to reduce the bit-line loads. The two PMOS P 1 and P 2 will pull the data line voltages up to V DD level all the time. In the read phase, P 3 and P 4 build up a column selector, which will be turned on by setting the signal CS 1 low. Simultaneously, EQ will go high to turn off the equalization transistor PEQ, and control signal CONS goes low, where 291 ISIC 2009

PMOS transistors P 5 and P 6 will be on and NMOS transistors N 7 and N 8 are off. The two differential currents are passing through the two column selectors P 3 and P 4, charging up the data-line capacitors creating different voltage levels. Consequently, a pair of PMOS converter will convert the differential voltages at points E and F into two differential currents again to charge up the voltages at A and B so as to drive the SA. The size of the two PMOS P 7 and P 8 must be properly sized to control the charging time of the circuit. The signal EN will go high in order to turn on the switch transistors N 9 to start sensing. Hence EN sets to low to cut off transistor N 10 to let points C and D go differentially at the same time. Four transistors P 7, P 8, N 3, and N 4 build up a cross-coupled latch, which is capable of generating a large voltage swing even a small difference in voltages is applied to it. The conventional inverter is used to full swing the differential voltages developed at points C and D. One of the key features in the proposed circuit is that the voltages on data lines will always be clamped close to V DD level; hence in the sensing mode, the charging mechanism on the data lines is very fast. For good impedance matching such a SA has to have a low input resistance. This keeps the bit-line voltage almost constant and results in fast read operation [7]. In the standby mode, the column selector is off where CS1 signal goes high, and EN goes low to block the unnecessary leakage currents. The NMOS N 9 is used to block any leakage current to save the power. Meanwhile, data line control signal CONS goes high to turn off PMOS P 5 and P 6, hence the bottom cross-coupled SA will be separated from the data line during the standby period. Once the bit line loading is decoupled from output nodes there will be a lot of improvement in the sensing delay [8]. Meanwhile, the voltages at points A and B are discharged down to ground through two NMOS transistors N 7 and N 8. Without N 7 and N 8, the voltages at A and B will be kept to 2V th level during standby in the self discharging process, therefore in next read cycle, the charging process will be shorter to turn on the cross coupled latch below. The shorter charging time is not sufficient for the right currents to come down to the data lines to charge up the data line capacitors. As a result, two PMOS P 7 and P 8 will be in cut off mode at the start of the read operation; no currents will be going down through the SA until V A and V B are charged up to close to V DD level to drive CCLSA again. In this case, two PMOS transistors P 5 and P 6 actually provide a small delay time for the data line voltages to go correctly by charging up V A and V B. This short delay time provided by P 5 and P 6 is vital in design since it provides enough time for data line voltages to swing and consequently no latching time is needed in EN signal. In such a configuration, the sensing time is tremendously reduced, and more power is saved. Transistor N 9 is a critical component in this proposed design. N 9 is able to control the voltage level at points C and D at standby by cutting off the current path to ground. Besides this function, more importantly, in the standby period, once the transistor it is cut off, the voltages at both points A and B will be fully discharged to ground level. This has prepared charging time long enough at start of read cycle for the desired currents to come down from the long bit lines. The new SA s layout is shown in Figure 2. Its transistor sizes and performance are summarized in tables I and II, respectively. The new circuit demonstrates a speed improvement of 51.4% and 62.47% less in power consumption. As a result the total power-delay product is ameliorated by 81.79% than the latest charge transfer circuit. Figure 1 Proposed circuit III. METHODOLOGY The proposed circuit in this paper was designed by using a 1.8 V/0.18 µm CMOS process. The sensing delay was defined as the difference in time between the 50% point of the output voltage and 50% point of the row select. To ensure a fair comparison, all the transistor sizes of five SA designs have been fully optimized to achieve minimum power-delay-product (PDP) value. Signal sequences stored in memory cells are identical for every circuit. The initial bit- and data-line capacitances are set to 1pF, and load-line capacitances are set to 0.1pF. Parametric analyses are conducted in Cadence to test the circuit behavior with respect to capacitance change. Delay time is measured from the time row select is triggered to the time when valid output data appears. Power dissipation is the product of current passing through and voltage across the power supply source. 292

Before the read cycle, voltage levels at two data lines are conserved near to V DD. Voltages at control points A and B are discharged to ground level. Once the 1 st row and 1 st column are selected with voltages at A and B are at ground potential, there will not be any current flow through cross coupled latch SA at the bottom (CCLSA). Only after 0.2ns the currents start to flow through the CCLSA, and further amplification is generated at points C and D. As a result it takes 0.14ns for voltages at points A and B to turn on the CCLSA and make V C and V D to swing. Consequently, no waiting time is needed before turning on the CCLSA. In this mechanism, it has saved time in reading cycle; meanwhile less power is consumed during the standby period and read period. TABLE I: SIZING OF THE TRANSISTORS USED IN THE PROPOSED DESIGN. ALL TRANSISTORS HAVE THE SAME CHANNEL LENGTH L = 0.18µm. Transistor W (µm) Transistor W(µm) P1-P2 15 P7-P8, N3-N4 3.5 6T cell 0.3 P9-P10 3 P3-P4 15 N5-N6 2 PEQ 10 N1-N2 7 P5-P6 5 N9 10 N7-N8 2.5 N10 1.5 TABLE II: SUMMARY OF PERFORMANCE OF THE CIRCUITS IN COMPARISON AT POST-LAOUT SIMULATIONS Circuit Sens ing dela y ns Power Consumption mw Power-Delay Product pj Layout area um 2 Proposed 0.49 0.224 0.109 420 Charge Transfer [9] 1.01 0.597 0.603 568 Ultra Low Power [10] 1.34 0.526 0.705 579 High Speed [11] 0.91 0.983 0.894 659 Figure 3 Delay and Power consumption of the circuits in comparison versus C BL variation. Figure 2 Layout of the proposed design Figure 4 Delay and Power consumption of the circuits in comparison versus C DL variation. 293

IV. PERFORMANCE COMPARISON AND EVALUATION Figure 3 shows the delay time and power consumption sensitivity with respect to bit-line changes. It has shown that the proposed design (ND) is the best performer in terms of both speed and power consumption. Figure 4 illustrates the delay time and power consumption sensitivity with respect to data-line capacitance. High Speed (HS) and Ultra Low Power (ULP) circuits which include a current sensing cross-coupled CMOS latch as the sense amplifier are insensitive to the variation of data-line capacitance. Charge Transfer circuit (CT) operation relies on data line capacitor for voltage transfer; hence the variation of data line capacitor will certainly affect the sensing speed. This has proven that the proposed design is also insensitive to data-line capacitance. Therefore it is a robust design with respect to capacitance variation. In addition, we also carried out the supply voltage variations and we prove that proposed design is still able to operate as low as 0.8V shown in Figure 2. When it is subject to bit-line mismatches, we have found out that the proposed design is able to tolerate 400% mismatches, as shown in Figure 3, while the sensing delay only increases from 0.37ns to 0.526ns based on pre-lay out simulation. Figure 2 Sensing delay of the proposed design versus V DD variation data line voltage swings small and ensure voltage levels high enough to drive CCLAS in the next reading cycle. In general, post layout simulation proves significant reduction in sensing speed and power consumption. Its low operation voltage down to 0.8V and insensitive to variations and mismatches provide an attractive solution for the future high speed low power memory circuit. REFERENCES [1] U. Schlichtmann.: Tomorrows High-Quality SoCs Require High-Quality Embedded Memories Today, 2nd Intl. Symposium on Quality Electronic Design, 2002, pp. 225 [2] Seng, Y.K.; Rofail, S.S.: 1.5 V high speed low power CMOS current sense amplifier, Electronics Letters, 1995, pp. 1991-1993 [3] Travis N. Blalock., and Richard C. Jaeger.: A High-speed Clamped Bit-Line Current-Mode Sense Amplifier, IEEE., journal of solid state circuit., 1991, 27, (4), pp. 525-536 [4] Sinha, M.; Hsu, S.; Alvandpour, A.; Burleson, W.; Krishnamurthy, R.; Borkar, S.: High-performance and low-voltage sense-amplifier techniques for sub-90nm SRAM, SOC Conference, 2003. Proceedings. IEEE International [Systems-on-Chip] 2003 pp. 113-116 [5] Nambu, H.; Kanetani, K.; Yamasaki, K.; Higeta, K.; Usami, M.; Fujimura, Y.; Ando, K.; Kusunoki, T.; Yamaguchi, K.; Homma, N.: A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM, IEEE Journal of Solid-State Circuits., 1998, 33, (11), pp. 1650 1658 [6] Kobayashi, T. (Toshiba Corp., Kawasaki, Japan); Nogami, K.; Shirotori, T.; Fujimoto, Y.; Watanabe, O. : A current-mode latch sense amplifier and a static power saving input buffer for low-power architecture, Symposium on VLSl Circuits Digest of Technical Papers, 1992, pp. 28-9 [7] Wicht, B.; Nirschl, T.; Schmitt-Landsiedel, D.: Yield and speed optimization of a latch-type voltage sense amplifier, IEEE Journal of Solid-State Circuits, 2004, 39, (7), pp. 1148 1158 [8] Hwang-Cherng Chow. And Shu-Hsien Chang, 2004, High Performance Sense Amplifier Circuit for Low Power SRAM Applications, IEEE, ISCAS [9] Patil, S., Wieckowski, M., and Margala, M.: A self-biased charge transfer sense amplifier, IEEE Int. Symp. on Circuits and Systems, 2007, 4, pp. 3030 3033 [10] Zhi-Hui Kong., Yeo Kiat Seng., Chip-Hong Chang.: An ultra lowpower current-mode sense amplifier for SRAM applications, Journal of circuits, System, and Computers., 2005, 14, (5), pp. 939-951 [11] Yeo, K.S., Goh, W.L., Kong, Z.H., Zhang, Q.X., and Yeo, W.G.: High performance low-power current sense amplifier, IEE Proc., Circuits Devices System., 2002, 149, (56), pp. 308 314 Figure 3 Sensing delay of the proposed design versus C BL variation V. CONCLUSION A novel sense amplifier with ultra low power and high speed is presented. It isolates the CCLSA from the data lines to keep 294