Manufacturing and Operational Excellence

Similar documents
Beyond Moore the challenge for Europe

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Competitive in Mainstream Products

The SEMATECH Model: Potential Applications to PV

MAPPER: High throughput Maskless Lithography

Technology & Manufacturing

W ith development risk fully borne by the equipment industry and a two-year delay in the main

The ICT industry as driver for competition, investment, growth and jobs if we make the right choices

Mid/Long-Term Management Policy

NXP Semiconductors Company presentation

Nokia Technologies in 2016 Technology to move us forward.

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Franco German press release. following the interview between Ministers Le Maire and Altmaier, 18 December.

Study on the Architecture of China s Innovation Network of Automotive Industrial Cluster

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Technology and Manufacturing Readiness Levels [Draft]

STM RH-ASIC capability

Research and Innovation Strategy for the Smart Specialisation of Catalonia. Brussels March 20th, 2014

The Future of Packaging ~ Advanced System Integration

UNCLASSIFIED. R-1 ITEM NOMENCLATURE PE S: Microelectronics Technology Development and Support (DMEA) FY 2013 OCO

Thriving in the Digital Economy How small and midsize enterprises are adapting to digital transformation

Introduction. digitalsupercluster.ca

Program Innovation in the C&I Sector. September 21, 2016

2010 IRI Annual Meeting R&D in Transition

Industry at a Crossroads: The Rise of Digital in the Outcome-Driven R&D Organization

Recent Trends in Semiconductor IC Device Manufacturing

A European Perspective for Electronic Industry in Latin America

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Market and technology trends in advanced packaging

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Electronic Material Systems

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Turning low carbon propulsion technologies into products developed in the UK

A National Collaboration Initiative for the Canadian Aerospace Industry

PPP InfoDay Brussels, July 2012

peace of mind For from development to commercial supply

Marine Research Programme

Patenting Strategies. The First Steps. Patenting Strategies / Bernhard Nussbaumer, 12/17/2009 1

Committee on Industry, Research and Energy WORKING DOCUMENT. on Innovation Union: Transforming Europe for a post-crisis world

DIGITAL TRANSFORMATION LESSONS LEARNED FROM EARLY INITIATIVES

ISMI Industry Productivity Driver

3 rd Annual Global Automotive Conference Goldman Sachs. London, December 8, 2011

How technology can enable the fourth industrial revolution. Lynne McGregor 28 February 2018

Space in the next MFF Commision proposals

THE INTELLIGENT REFINERY

21 st Annual Needham Growth Conference

3Q03 Silicon Wafer Update: Demand Continues Recovery

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

RIE2020 AME Strategy. May 2016

Conclusions on the future of information and communication technologies research, innovation and infrastructures

Creative Industries: The Next Phase

ARPA-E Technology to Market: Changing What s Possible

Volume 21 Issue IV News Review, News Analysis, Features, Research Review and much more.

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

THEFUTURERAILWAY THE INDUSTRY S RAIL TECHNICAL STRATEGY 2012 INNOVATION

Factory of the future, enabling KETs. Advanced Manufacturing Systems as transversal KET. Speaker: Paolo Calefati Prima Industrie SpA

SOLID FOUNDATION, SUSTAINABLE FUTURE

Global Video Game Software Market: Size, Trends & Forecasts ( ) February 2018

Oerlikon Eldim Empowers Your Ambition. Issue 2

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

The future of lithography and its impact on design

ACCELERATING THE FUTURE OF SEMICONDUCTORS

ASEAN: A Growth Centre in the Global Economy

Exploring the full potential of Industry 4.0 in plastics processing

Oil & Gas Offshore. Industry challenges in deepwater discover

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Moving from R&D to Manufacture

R&D and innovation activities in companies across Global Value Chains

Moving from R&D to Manufacture

Ministry of Industry. Indonesia s 4 th Industrial Revolution. Making Indonesia 4.0. Benchmarking Implementasi Industri 4.0 A.T.

02 SQUARE ENIX To Our Shareholders. A Fundamental Industry Change from Evolution in Network Technology. Yoichi Wada

Why do we need standards?

UK Government BIM Programme. Simon Rawlinson

The Tool, Mould & Die Sector and the. Automotive Industry. Uddeholm Automotive Tooling Seminar Sunne / Sweden

MILAN DECLARATION Joining Forces for Investment in the Future of Europe

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd.

Embraer: Brazil s pioneering aviation giant

FET Flagships in Horizon 2020

DIGITAL FINLAND FRAMEWORK FRAMEWORK FOR TURNING DIGITAL TRANSFORMATION TO SOLUTIONS TO GRAND CHALLENGES

Facing the Future: Additive Manufacturing SECOND ROUND. Call for Partners: Consortium Study. Our partners:

Executive Summary World Robotics 2018 Industrial Robots

Brief to the. Senate Standing Committee on Social Affairs, Science and Technology. Dr. Eliot A. Phillipson President and CEO

SUSTAINABILITY OF RESEARCH CENTRES IN RELATION TO GENERAL AND ACTUAL RISKS

University-Industry Collaboration Is It a Real Opportunity? Ömer Rüştü Ergen

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

PROJECT FINAL REPORT Publishable Summary

The petroleum industry, internationalisation, 11 and technology development. Industry development and internationalisation

Technology Leadership Course Descriptions

Balancing enormous financial risk with cyclical market demands is like a no limit poker game

Adaptive Patterning. ISS 2019 January 8th

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Lithography in our Connected World

The Value of Membership.

Top Consortium for Knowledge and Innovation in Process Technology

High Frequency GaN-Based Power Conversion Stages

Balancing active and passive safety

Transcription:

Manufacturing and Operational Excellence Ajit Manocha General Manager Manufacturing & SCM September 15, 2005

Outline Operational excellence to improve competitiveness R&D Effectiveness Adoption of leading edge technologies First-time-right in design Manufacturing Strategy & Cost Down Roadmap Lowering the Breakeven Point Roadmap to Sourcing from Asia Cost of Non-quality and Zero Defect Plan Supply Chain Performance Initiatives 2

Our focus today Improving our competitiveness Agenda Sales Growth and 5-15% IFO Rebuild market share (start now with existing products) Improve operational excellence Lower the break even point Market oriented, simpler organization with inspired talent In parallel look at M&A Build partnerships Grow scale in key areas & invest in new products Asset light, flexible manufacturing Business portfolio pruning & Focus on key areas R&D effectiveness First time right designs Adoption of leading-edge technologies Manufacturing (lowering the breakeven point) 300 mm JV and outsourcing Roadmap to Asia Manufacturing excellence program Supply chain performance Stacked lead time Vendor rating 3

R&D effectiveness Focus on early adoption of leading-edge technologies For the 0.18 µm node it took 3 quarters after industrial qualification before the new products started growing 2006 # new product tape-outs 2005 2004 2003 2002 2001 CMOS18 Tape outs Industrial qualification 2000 1999 Volume 4

R&D effectiveness Focus on early adoption of leading-edge technologies For the 0.18 µm node it took 3 quarters after industrial qualification before the new products started growing For 90nm the increase in new product tape-outs occurs virtually instantaneously after process qualification 2006 2005 2004 2003 2002 2001 2000 1999 # new product tape-outs CMOS18 CMOS090 Tape outs Industrial qualification Tape outs Industrial qualification Volume 5

R&D effectiveness Focus on early adoption of leading-edge technologies For the 0.18 µm node it took 3 quarters after industrial qualification before the new products started growing For 90nm the increase in new product tape-outs occurs virtually instantaneously after process qualification Meanwhile, time to initial volume has come down from approx. 3 years to 3 quarters The principles of concurrent engineering and early validation of IP are being actively applied in the launch of 65 nm and beyond 2006 2005 2004 2003 2002 2001 2000 1999 # new product tape-outs CMOS18 CMOS090 Tape outs Industrial qualification Tape outs Industrial qualification Volume 6

R&D effectiveness FTR (First Time Right) for 120 and 90 nm Improved design methodologies and focus on design for manufacturability to maintain high first time right ratios despite increasing product complexity 90% 80% 70% 60% FTR Trend 120 & 90 nm 50% To further strengthen our product creation potential, the Crolles2 alliance cooperation has been extended to include creation of advanced IP as well as assembly technology 40% 30% 20% 10% 0% January February March April May June Target FTR C12-C90 7

Our focus today Improving our competitiveness Agenda Sales Growth and 5-15% IFO Rebuild market share (start now with existing products) Improve operational excellence Lower the break even point Market oriented, simpler organization with inspired talent In parallel look at M&A Build partnerships Grow scale in key areas & invest in new products Asset light, flexible manufacturing Business portfolio pruning & Focus on key areas R&D effectiveness First time right designs Adoption of leading-edge technologies Manufacturing (lowering the (lowering breakeven the point) breakeven point) 300 mm JV and outsourcing Roadmap to Asia Manufacturing excellence program Supply chain performance Stacked lead time Vendor rating 8

Asset light strategy Turning theory into practice R&D and pilot fab in Crolles-2 Alliance Typical time to start of own (J.V.) is 4-5 years until enough wafer load New J.V. for 300 mm will be needed by 2008 (market conditions will dictate exact timing) TSMC 2 nd sourcing available Volume 5-6 years Model (2003) Crolles2 TSMC JV Fab Embryonic Growth Mature Time 9

Sourcing roadmap 2005-2008 Lowering our breakeven point Manufacturing activities will continue to shift to Asia Advanced processes High volume non-specialty processes for dual sourcing Outsourcing will increase to well over 30% In-house production is decreasing continuously Production in Europe/US will be limited to part of proprietary and specialty technologies in the existing manufacturing base K wafers 800 600 400 200 0 100% 80% 60% 40% 20% 0% 3rd JV-300mm Crolles-2 SSMC In-house Asian manufacturing activities 2001 2003 2005 2003 2004 2005 2006 2007 2008 10

Manufacturing excellence related cost reduction Lowering our breakeven point Yield improvement is approaching best in class limits Traditional improvement program was not delivering fast enough Installed focused manuf. excellence program, resourced with high-level industrial engineers, already paying off Equipment productivity improvement by retrofitting best practices from advanced and high-performing units into rest of mature base Supplier base: decreasing cost of direct and indirect materials These actions will lead to cost reduction of 325M Euros including depreciation by end 2007 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% Relative unit cost Yield improvement Supplier base mgmt Equipment productivity Reduce cost by factor of 2 over next 3 years 2004 2008 Excl SSMC and depr 11

Zero defects program Meeting automotive industry requirements Improving technical quality control to reduce customer complaints from the PPM to the PPB level Current complaint level is about 2 per billion pins (assembly) Increased focus on design-formanufacturing, as complexity of new products increases Applying firewalls (refined measurement and test approaches) to screen latent defects 40 30 20 10 0 PPMs reported by the customers 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 Cost of non-quality Embedding the zero defect culture in all our operations to reduce the cost of non-quality Q4 04 Q2 05 Target Q1 05 07/05 12

What does it mean? 1) Wafer cost per unit reduction faster than ASP erosion Cost per wafer ASP ASP erosion scenarios 2002 2003 2004 2005 Target 13

What does it mean? 2) Continuous reduction of breakeven point Restructuring and regrouping of production activities (e.g. Nijmegen) in 2004 has made substantial reduction of breakeven point 100% 90% 80% Utilization Breakeven Going forward, we will continue to regroup and maintain utilizations above the breakeven point 70% 60% 50% 40% 2003 2004 2005 2006 Target 14

Our focus today Improving our competitiveness Agenda Sales Growth and 5-15% IFO Rebuild market share (start now with existing products) Improve operational excellence Lower the break even point Market oriented, simpler organization with inspired talent In parallel look at M&A Build partnerships Grow scale in key areas & invest in new products Asset light, flexible manufacturing Business portfolio pruning & Focus on key areas R&D effectiveness First time right designs Adoption of leading-edge technologies Manufacturing (lowering the breakeven point) 300 mm JV and outsourcing Roadmap to Asia Manufacturing excellence program Supply chain performance Stacked lead time Vendor rating 15

Optimizing supply chain management Supporting the highway to the customer Despite increased complexity of flows, which adds roughly 3 days, ongoing progress in stacked lead time improvements 100 80 60 40 20 Days Stacked lead time Positive trend in vendor rating for business fulfillment: from 78% to 85% in 6 quarters SCM organization being optimized in line with the highway to the customer Breakthrough actions to improve requested line item performance (RLIP) from 75 to 90% Reduce customer order confirmation from 2 days to 24 hours 0 90% 88% 86% 84% 82% 80% 78% 76% EOY 02 EOY 03 EOY 04 1H 05 Target Vendor rating business fulfillment 74% Q1 04 Q2 04 Q3 04 Q4 04 Q1 05 Q2 05 Goal 16

In Summary: We have made significant progress over the past year Increased focus on R&D effectiveness, building on the first time right experiences in 90 nm as we tackle 65 nm challenges Asset light strategy, ongoing cost reductions and manufacturing excellence provide a significant contribution to economic viability Bringing company-wide quality to automotive requirements Focus on supply chain management leading towards improved stacked lead time and delivery performance to become recognized for customer-service Our journey is underway, we are on the right track, and we are committed to achieving our objectives! 17