Design of a Low Voltage Schmitt Trigger in 0.18 m CMOS Process With Tunable Hysteresis

Similar documents
Design of an Inductor-Less LNA Using Resistive Feedback Topology for UWB Applications

A Low Loss Wide Swing Cascode Current Mirror in 0.18-μm CMOS Technology

Design of a Low Power Dissipation and Low Input Voltage Range Level Shifter in Cedec 0.18-µm Cmos Process

Design of a High Speed Low Power 2 s Complement Adder Circuit

Design of A Low Power and Wide Band True Single-Phase Clock Frequency Divider

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT)

Low Power Schmitt Trigger

A CMOS Proteretic Bistable Device

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation

Comparitvie Analysis and Proposed Schmitt Trigger Design using Different CMOS Foundries

Design of a Current Starved Ring Oscillator Based VCO for Phase-Locked Loop

CHAPTER 1 INTRODUCTION

AS THE semiconductor process is scaled down, the thickness

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

I. INTRODUCTION. It has two stable states and by applying external input we can. enhance the immunity of a circuit to noise and disturbances.

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Implementation of dual stack technique for reducing leakage and dynamic power

Current Steering Digital Analog Converter with Partial Binary Tree Network (PBTN)

Evaluation of low power Schmitt Trigger for communication system

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Implementation of LZW Algorithm for Binary Lossless Data Compression

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

Figure 1 Typical block diagram of a high speed voltage comparator.

Design of a Capacitor-less Low Dropout Voltage Regulator

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

ISSN: X Impact factor: 4.295

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design of Low Power 6-bit Digitally-Controlled Oscillator (DCO)

II. Previous Work. III. New 8T Adder Design

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Design & Analysis of Low Power Full Adder

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

Design of Low Power Vlsi Circuits Using Cascode Logic Style

An energy efficient full adder cell for low voltage

A High Speed and Low Voltage Dynamic Comparator for ADCs

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

A Design of Sigma-Delta ADC Using OTA

Adiabatic Logic Circuits for Low Power, High Speed Applications

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power VLSI Design: A Review

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp ,

The Design of Tag-ItTM Compatible MHz Passive RFID Transponder IC Employing TSMC 0.18µm Process

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

International Journal of Electronics and Communication Engineering & Technology (IJECET), INTERNATIONAL JOURNAL OF ELECTRONICS AND

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

6-Bit Charge Scaling DAC and SAR ADC

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

Atypical op amp consists of a differential input stage,

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

International Journal of Advance Engineering and Research Development

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Power And Area Optimization of Pulse Latch Shift Register

Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain

High Voltage Operational Amplifiers in SOI Technology

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

CMOS VLSI Design (A3425)

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

A Novel Technique to Reduce Write Delay of SRAM Architectures

Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of CMOS Based PLC Receiver

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

DESIGN OF A LOW DROP-OUT VOLTAGE REGULATOR USING 0.13 µm CMOS TECHNOLOGY

Design Analysis of 1-bit Comparator using 45nm Technology

Comparison of adiabatic and Conventional CMOS

Design and Simulation of a Low Power Amplifier for CMOS MEMS Sensors Using Wide Swing Cascode Current Mirror

Keywords - Analog Multiplier, Four-Quadrant, FVF Differential Structure, Source Follower.

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Transcription:

Modern Applied Science; Vol. 7, No. 4; 2013 ISSN 1913-1844 E-ISSN 1913-1852 Published by Canadian Center of Science and Education Design of a Low Voltage Schmitt Trigger in 0.18 m CMOS Process With Tunable Hysteresis Haroon Rashid 1, Md. Mamun 1, Md. Syedul Amin 1 & Hafizah Husain 1 1 Department of Electrical, Electronic and Systems Engineering, Universiti Kebangsaan Malaysia, Selangor, Malaysia Correspondence: Md. Syedul Amin, Department of Electrical, Electronic and Systems Engineering, Universiti Kebangsaan Malaysia, UKM Bangi 43600, Selangor, Malaysia. Tel: 60-3-8921-6316. E-mail: amin.syedul@gmail.com Received: August 13, 2012 Accepted: March 12, 2013 Online Published: March 20, 2013 doi:10.5539/mas.v7n4p47 URL: http://dx.doi.org/10.5539/mas.v7n4p47 Abstract Schmitt triggers are commonly used in communication and signal processing techniques to solve noise problem. A low voltage Schmitt trigger circuit with tunable hysteresis is proposed in this paper. For obtaining hysteresis under low voltage, a cross-coupled static inverter pair is used. By adjusting the symmetrical load operation, the hysteresis of the Schmitt trigger is varied. The cross-coupled inverter pair regenerative operation is controlled by it. Designed in 0.18 m CMOS process technology, the simulation results show that the proposed Schmitt trigger circuit s triggering voltage can be adjusted approximately 0.5 V to 1.2 V. The proposed design is suitable to be implemented in buffers, sub-threshold SRAMs, retinal focal plane sensors, wireless transponders and pulse width modulation circuits. Keywords: CMOS, comparator, Schmitt trigger, tunable hysteresis 1. Introduction Schmitt Trigger circuit is widely used in analogue and digital circuits to increase noise immunity (Akter et al., 2008a, b; Reaz et al., 2007a, b; Marufuzzaman et al., 2010; Reaz et al., 2003; Reaz et al., 2005). It converts a varying voltage into an unvarying logical voltage signal (zero or one). The DC transfer characteristics are the major distinction in Schmitt trigger and comparator. For negative going and positive going input signals, Schmitt trigger has various switching thresholds known as hysteresis. Schmitt trigger does not respond if input signal noise magnitude is lesser than switching threshold variation. As such, it is resistant to noise (Saini et al., 2009; Kulkarni et al., 2007; Wu & Chiang, 2004; Liu et al., 2000; Kim et al., 2007). Buffers, sub-threshold random access memory, retinal focal plane, sensors, wireless transponders, pulse width modulation circuits etc use the Schmitt trigger circuits (Reaz et al., 2006; Reaz & Wei, 2004; Mohd-Yasin et al., 2004; Mogaki et al., 2007). Conventional operational amplifiers based Schmitt triggers suffer from high power consumption and op-amp design challenges. Researchers exploited the potential benefits of CMOS technology for designing the Schmitt triggers (Allstot, 1982; Chen & Ming-Dou, 2005; Dokic, 1984; Katyal et al., 2008; Kim et al., 1993; Kosasayam, 2004; Kuang & Chuang, 2001; Niklas & Yiannos, 2012; Pedroni, 2005; Zhang et al., 2003). The most commonly quoted single ended Schmitt trigger was proposed by Dokic (1984). Dokic s design piles 4 transistors in between ground and power rails. Thus, the design is not suitable for low voltage applications. Chen and Ming-Dou (2005) proposed a Schmitt trigger based on design of Dockie. The proposed circuit functioned under a 3.3 V without high-voltage-gate-oxide over stress. By using a multilayer Schmitt trigger, larger voltage variation between two switching threshold voltages was attained (Kuang & Chuang, 2001). However, the design needed the four transistors stack in between ground and power rails. Thus, it was not suitable for low voltage applications. Device dimensions, process parameters and supply voltages determine hysteresis. Kim et al. (1993) used 10 transistors in his proposed Schmitt trigger design for forming required regenerative feedback. Al-Sarawi (2002) also proposed a low-power CMOS Schmitt trigger. Pedroni et al. (2005) proposed ultra-low-voltage Schmitt trigger utilizing the body biasing technique. By adding an extra active pull up path, two switching threshold voltages can be simply attained. A logical threshold voltage control circuit proposed by Kosasayam et al. (2004) by setting the logical threshold. But the variable channel size MOS transistors required a careful mask design for logical threshold voltage control circuit. However, the logical threshold voltage can also be tuned in the narrow 47

www.ccsenet.org/mas Modern Applied Science Vol. 7, No. 4; 2013 range. Katyal et al. proposed a Schmitt trigger based on the design of Kid et al. (Katyal et al., 2008; Kim et e al., 1993). This paper presents a low voltage Schmitt trigger with tunable hysteresis that utilizes a cross-coupled static s inverter pair for obtaining hysteresis under low voltage. The proposed Schmitt trigger hysteresis is varied by controlling the symmetrical load that regulates the cross-coupled inverter pair s regenerative operation. 2. Conventional Schmitt Triggers Schmitt trigger is like a comparator which includes positive feedback. The outpu is high for an input higherr than a chosen threshold level. On the hand, the output is low if the input is lower than a threshold. The output retains the value if the input is within these two. The conventional Schmitt trigger circuit and transfer curve are shown in Figure 1. Figure 1. (a) Conventional Schmittt Trigger Circuit, (b) Voltage Transfer Curve Hysteresiss determined by the devicee supply voltages, dimensions and process parameters are the general disadvantage of the previously mentioned Schmitt triggers. As such, hysteresiss varies with process conditions. The parameters spread have to be tolerated from batch to batch as well as from chip to chip. 3. Proposed Low Voltage Tunable CMOS Schmittt Trigger The schematic of the proposed low voltage tunable CMOS Schmitt trigger is illustrated in Figure 2. To improve the tunable load currents and linearity of V/I characteristics, symmetrical loads are employed. The symmetrical loads act like voltage-controlled current sources set by Vc1, Vc2 and impedances set by the M3 and M4 mainly. The M3, M4 width is set much smaller than M5, M6 such a way that M5, M6 sets the current source impedance. The width of M7-M10 widths are set much smaller than M1-M2, M5-M6 such a way that the latch formed by the M7-M10 can be reset by the input Vin. The respective transistor dimensions are shown in Table 1. Table 1. The transistor dimensions NMOS Transistor M1 NMOS M2 NMOS M7 NMOS M8 NMOS M11 NMOS M14 NMOS M1 NMOS W/L ( m) 10/0.18 10/0.18 2.5/0.18 2.5/0.18 10/0.18 20/0.18 20/0.18 PMOS Transistor W/L ( m) M3 PMOS 5/ /0.18 M4 PMOS 5/ /0.18 M5 PMOS 20/0.18 M6 PMOS 20/0.18 M9 PMOS 5/ /0.18 M10 PMOS 5/ /0.18 M12 PMOS 20/0.18 M13 PMOS 20/0.18 48

Figure 2. Schematic of proposed low voltage tunable Schmitt Trigger 4. Results and Discussions The Schmitt trigger was designed using Silterra s 0.18 m fabrication standard under 0.8 V CMOS technology and analyzed by simulation using CEDEC s Silterra Design Kit for Mentor Graphics software. Figure 3 shows the waveform at pmos M12 where Vss is approximately 0.17 V. When there is logic 0 at M12, M4, M7 and M9 are off and M1, M2, M5, M6 and M8 are in the saturation state. Figure 3. Output waveform at PMOS M12 49

The simulation results of input/output are shown in Figures 4, 5 and 6 for three different levels of voltage tested and simulated i.e. 0.8 V, 1.0 V and 1.8 V. The discrete triggering voltages are evident. Figure 4. Simulation snapshot input/output waveforms at 0.8 V Figure 5. Simulation snapshot input/output waveforms at 1.0 V 50

Figure 6. Simulation snapshot input/output waveforms at 1.8 V The proposed Schmitt trigger DC voltage transfer characteristics with 2 different level of voltages are shown in Figures 7 and 8. It is seen that the state transition occurs when inverter pair is not activated. When the inverter pair is activated, the hysteresis exists and state transition gets sharper, owing to regenerative effect. Figure 7. DC voltage characteristics at 0.8 V 51

www.ccsenet.org/mas Modern Applied Science Vol. 7, No. 4; 2013 Figure 8. DC voltage characteristics at 1.0 V It is perceived that proposed Schmitt trigger s triggering voltage can be varied approximately from 0.5 V to 1.8 V. But in between 0.5 V to 0.8 V there will be some spikes in output. The good results can be taken at 1.0 V to 1.2 V with very less spikes at 1.8 V. The power consumption is 189.1838 W only. A comparisons study of voltage and technology used for Schmitt Trigger implementation is illustrated in Table 2. From the table it is evident that proposed Schmitt trigger can work between 0. 5 V to 1.2 V that lesser than the reported. Table 2. Comparison of voltage and technology Research Vdd Pedroni, 2005 3.3 V Pham, 2007 3.0 V-3.3 V Kim and Kim, 2007 0 V-0.7 V This Work 0.5 V-1.2 V CMOS Technology 0.5 μm AMI 0.5 μm AMI 0.15 μm BSIMSOI3.2 0.18 μm CEDEC The layout of the proposed Schmitt trigger is drawn by using the CEDEC s Graphics at 0.18 m standard process. The layout design is shown in Figure 9. Silterra Design Kit for Mentor 52

Figure 9. Layout of the proposed Schmitt Trigger 5. Conclusion A Low Voltage tunable hysteresis CMOS Schmitt trigger is proposed in this paper. The design has advantages of low power and tunable hysteresis operated under low voltage which can vary from 0.5 V to 1.8 V. The system simulation result at 0.8 V with temperature setting of 27 C is found satisfactory. The system is suitable to be implemented in buffers, sub-threshold SRAMs, retinal focal plane sensors, wireless transponders and sensors and pulse width modulation circuits. References Akter, M., Reaz, M. B. I., Mohd-Yasin, F., & Choong, F. (2008a). A modified-set partitioning in hierarchical trees algorithm for real-time image compression. Journal of Communications Technology and Electronics, 53(6), 642-650. http://dx.doi.org/10.1134/s1064226908060065 Akter, M., Reaz, M. B. I., Mohd-Yasin, F., & Choong, F. (2008b). Hardware implementations of an image compressor for mobile communications. Journal of Communications Technology and Electronics, 53(8), 899-910. http://dx.doi.org/10.1134/s106422690808007x Allstot, D. J. (1982). A precision variable-supply CMOS comparator. IEEE JSSC, 17(6), 1080-1087. Al-Sarawi, S. (2002). Low power Schmitt trigger circuit. IEEE Electronics Letters, 38(18), 1009-1010. http://dx.doi.org/10.1049/el:20020687 Chen, S. L., & Ming-Dou, K. (2005). A new Schmitttrigger circuit in a 0.13-μm 1/2.5-V CMOS process toreceive 3.3-V input signals. IEEE Transactions on Circuits and Systems II: Express Briefs, 52(7), 361-365. http://dx.doi.org/10.1109/tcsii.2005.850409 Dokic, B. L. (1984). CMOS Schmitt triggers. Electronic Circuits andsystems, 131(5), 197-202. http://dx.doi.org/10.1049/ip-g-1.1984.0037 Katyal, V., Geiger, R. L., & Chen, D. J. (2008). Adjustable Hysteresis CMOS Schmitt Triggers. In IEEE International Symposium on Circuits and Systems, ISCAS 2008. pp. 1938-1941. Seattle, USA: IEEE. Kim, D., Kih, J., & Kim, W. (1993). A new waveform-reshapingcircuit: An alternative approach to Schmitt trigger. IEEE JSSC, 28(2), 162-164. Kim, H., Kim, H. J., & Chung, W. S. (2007). Pulsewidth Modulation Circuits Using CMOS OTAs. IEEE Transactions on Circuits and Systems I: Regular Papers, 54(9), 1869-1878. http://dx.doi.org/10.1109/tcsi.2007.904677 Kim, K. K., & Kim, Y. B. (2007). Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology. IEICE Electronics Express, 4(19), 606-611. http://dx.doi.org/10.1587/elex.4.606 Kosasayam, Y., Arima, Y., Ueno, M., Kimata, M., Himei, K., & Aasano, T. (2004). Differential Voltage Comparatorwith Variable Channel-Size MOSFET. IEICE Transactions on Fundamentals of Electronics, 53

Communications and Computer Sciences, E87A(2), 357-363. Kuang, J. B., & Chuang, C. T. (2001). PD/SOI CMOS Schmitt trigger circuits with controllable hysteresis. In 2001 International Symposium on VLSI Technology, Systems, Applications, pp. 283-285. Hsinchu: IEEE. Kulkarni, K., Kim, K, & Roy, K. (2007). A 160 mv robust Schmitt trigger based sub-threshold SRAM. IEEE J. Solid-State Circuits, 42(10), 2303-2313. http://dx.doi.org/10.1109/jssc.2007.897148 Liu, W., Vichienchom, K., Clements, M., DeMarco, S., Hughes, C., McGucken, E.,, Greenberg, R. (2000). A neuro-stimulus chip with telemetry unit for retinal prosthetic device. IEEE JSSC, 35(10), 1487-1497. Marufuzzaman, M., Reaz, M. B. I., Rahman, M. S., & Ali, MA. Mohd. (2010). Hardware prototyping of an intelligent current dq PI controller for FOC PMSM drive. In Proceeding of 6th International Conference: Electrical and Computer Engineering (pp. 86-88). Dhaka, Bangladesh: IEEE. Mogaki, S., Kamada, M., Yonekura, T., Okamoto, S., Ohtaki, Y., & Reaz, M. B. I. (2007). Time-stamp service makes real-time gaming cheat-free. Proceedings of the 6th ACM SIGCOMM Workshop on Network and System Support for Games, NetGames '07, pp. 135-138. Melbourne, Australia: ACM. Mohd-Yasin, F., Tan, A. L., & Reaz, M. B. I. (2004). The FPGA prototyping of Iris recognition for biometric identification employing neural network. Proceedings of the International Conference on Microelectronics, ICM, pp. 458-461. Tunis, Tunisia: IEEE. Niklas, L., & Yiannos, M. (2012). A 62 mv 0.13μm CMOS standard-cell-based design technique using Schmitt-trigger logic. IEEE journal of solid-state circuits, 47(1), 47-60. http://dx.doi.org/10.1109/jssc.2011.2167777 Pedroni, V. (2005). Low-voltage high-speed Schmitt trigger and compact window comparator. IEEE Electronics Letters, 41(22), 1213-1214. http://dx.doi.org/10.1049/el:20052799 Pham, C. (2007). CMOS Schmitt Trigger Circuit with Controllable Hysteresis Using Logical Threshold Voltage Control Circuit. In the 6 th International Conference on Computer and Information Science, 2007, pp. 48-53. Melbourne, Australia: IEEE. Reaz, M. B. I., Chong, F., & Mohd-Yasin, F. (2006). VHDL Modeling for Classification of Power Quality Disturbance Employing Wavelet Transform, Artificial Neural Network and Fuzzy Logic. The Society for Modelling & Simulation International, 82(12), 867-881. http://dx.doi.org/10.1177/0037549707077782 Reaz, M. B. I., Choong, F., Sulaiman, M. S., & Mohd-Yasin, F. (2007). Prototyping of wavelet transform, artificial neural network and fuzzy logic for power quality disturbance classifier. Electric Power Components and Systems, 35(1), 1-17. http://dx.doi.org/10.1080/15325000600815431 Reaz, M. B. I., Ibrahimy, M. I., Mohd-Yasin, F., Wei, C. S., & Kamada, M. (2007). Single core hardware module to implement encryption in TECB mode. Informatic MIDEM, 37(3), 165-171. Reaz, M. B. I., Islam, M. T., Sulaiman, M. S., Ali, M. A. M., Sarwar, H., & Rafique, S. (2003). FPGA realization of multipurpose FIR filter. In Proceeding of Parallel and Distributed Computing, Applications and Technologies, pp. 912-915. Chengdu, China: IEEE. Reaz, M. B. I., Mohd-Yasin, F., Tan, S. L., Tan, H. Y., & Ibrahimy, M. I. (2005). Partial encryption of compressed images employing FPGA. In Proceeding of IEEE International Symposium on Circuits and Systems, pp. 2385-2388. Kobe, Japan: IEEE. Reaz, M. B. I., & Wei, L. S. (2004). Adaptive Linear Neural Network Filter for Fetal ECG Extraction. Proceedings of the International Conference on Intelligent Sensing and Information Processing, ICISIP. pp. 321-324. Chennai, India: IEEE. Saini, S., Veeramachaneni, S., Kumar, A. M., & Srinivas, M. B. (2009). Schmitt trigger as an alternative tobuffer insertion for delay and power reduction in VLSI interconnects. In the proocedeings of TENCON 2009, pp. 1-5. Singapore: IEEE. Wu, C., & Chiang, C. (2004). A low-photo current CMOS retinal focal-plane sensor with a pseudo-bjt smoothingnetwork and an adaptive current Schmitt trigger for scanner applications. IEEE Sensors J., 4(4), 510-518. http://dx.doi.org/10.1109/jsen.2004.828856 Zhang, C., Srivastava, A., & Ajmera, P. (2003). Low voltage CMOS schmitt trigger circuits. IEEE Electronics Letters, 39(24), 1696-1698. http://dx.doi.org/10.1049/el:20031131 54