Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Similar documents
Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology

/$ IEEE

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

Wide frequency range duty cycle correction circuit for DDR interface

IN RECENT years, the phase-locked loop (PLL) has been a

PHASE-LOCKED loops (PLLs) are widely used in many

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

A Robust Oscillator for Embedded System without External Crystal

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

Delay-based clock generator with edge transmission and reset

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

Research on Self-biased PLL Technique for High Speed SERDES Chips

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

REDUCING power consumption and enhancing energy

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

A High-Resolution Dual-Loop Digital DLL

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

THE serial advanced technology attachment (SATA) is becoming

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

DESIGN OF A MODULAR FEEDFORWARD PHASE/FREQUENCY DETECTOR FOR HIGH SPEED PLL

Introduction to CMOS RF Integrated Circuits Design

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

THE reference spur for a phase-locked loop (PLL) is generated

ALTHOUGH zero-if and low-if architectures have been

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECE 658 Project - Delay Locked Loop Design. Y. Sinan Hanay

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

DLL Based Frequency Multiplier

Low Power Phase Locked Loop Design with Minimum Jitter

A Comparative review and analysis of different phase frequency detectors for Phase Locked Loops

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Lecture 11: Clocking

DOUBLE DATA RATE (DDR) technology is one solution

Circuit Design for a 2.2 GByte/s Memory Interface

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

MULTIPHASE clocks are useful in many applications.

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

THE DEMANDS of a high-bandwidth dynamic random access

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Simple odd number frequency divider with 50% duty cycle

Integrated Circuit Design for High-Speed Frequency Synthesis

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet

American International Journal of Research in Science, Technology, Engineering & Mathematics

RECENT advances in integrated circuit (IC) technology

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

A Novel High Efficient Six Stage Charge Pump

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

INF4420 Phase locked loops

A design of 16-bit adiabatic Microprocessor core

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Design of High Performance PLL using Process,Temperature Compensated VCO

A Frequency Synthesis of All Digital Phase Locked Loop

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India.

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

ISSN:

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A LOW POWER PHASE FREQUENCY DETECTOR FOR DELAY-LOCKED LOOP

Transcription:

International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz, and Pleiades Faith Longakit Microelectronics Lab, EECE Department, MSU-Iligan Institute of Technology, Iligan City, Philippines Email: jefferson.hora@g.msuiit.edu.ph Abstract A proposed delay-locked loop (DLL) circuit that uses 4 cell delay line with extended inverters is proposed, designed and simulated in 180nm CMOS process technology. This design can be applied to microprocessors, memory, and communication IC applications whose timing relationships (delay) are essential. Its voltage controlled delay line is improved by adding extended inverters so as to achieve a 50% duty cycle in the DLL output which is usually limited due to jitter and noise in the DLL circuit. The design shows a range of 50-50.3% duty cycle with a 0.6% duty cycle error in its output and its jitter is 5.63ps at 1 GHz. The circuit operates within a frequency range of 520 MHz to 1 GHz and achieves a locking time of 200ns at 1 GHz operation. The DLL s total chip core area is 0.09703 mm2. Index Terms delay-locked loop, dynamic phase detector, charge pump, voltage-controlled delay line, duty cycle, jitter. I. INTRODUCTION As the speed performance of VLSI systems increases rapidly, clock synchronization between the subsystems is getting more challenging [1] and more emphasis is placed on suppressing skew and jitter in the clocks [2]. These high-speed synchronous systems require tightly controlled clock timing allowances for high performance operation. Efficient performance is essential in communication, network and multimedia applications. Delay-locked loops (DLL s) and phase-locked loops (PLL s) are synchronous circuits routinely employed in microprocessors, memory interfaces and communication IC applications in order to hide clock distribution delays, to cancel the on-chip clock amplification and buffering delays and to improve the overall system timing [2][3][4]. Generally, these circuits are used for clock multiplication and signal synchronization [5]. In applications where no clock synthesis is required, DLLs offer an attractive alternative to PLLs due to their better jitter performance and timing margin performance, inherent stability, and simpler design [4][6]. Additionally, since DLLs do not use a voltage-controlled oscillator (VCO), phase errors induced by supply or substrate noise do not accumulate over many clock cycles. This improved noise immunity is the main reason for the increased adoption of DLLs in applications [4]. The DLL has better stability than the PLL because the DLL uses a first-order loop filter. Furthermore, the jitter of the DLL II. SYSTEM DESIGN AND ARCHITECTURE A. DLL Overall System The basic loop building blocks of the delay-locked loop are composed of the phase detector, charge pump, loop filter and a voltage-controlled delay-line. Fig. 1 illustrates the four main functional blocks. The phase Manuscript received November 14, 2013; revised March 1, 2014. 2014 Engineering and Technology Publishing doi: 10.12720/ijeee.2.4.298-302 is smaller than that of the PLL because the DLL has less jitter accumulation. Even though the PLL has been used predominately, the DLL is now getting more attention in the applications such as data communication links and memory interfaces [1]. This commonly used synchronous circuit is used to align the outgoing data with an external clock signal for clock synchronization [7]. A typical DLL involves several design considerations. First, DLLs suffer from the problem of their limited delay range since DLLs adjust only the phase and not the frequency [4]. Second, the output of the DLL also depends greatly on the input tothe delay line. Third, the basic DLL cannot generate new frequencies different from that of the delay-line input [8]. The locking time and the jitter performance is always a concern in the design of DLL. The DLL uses a VCDL rather than the VCO since the noise in the voltagecontrolled delay-line (VCDL) does not accumulate over many clock cycles; hence, it is preferred to be used in many cases. It also offers a faster locking time, which allows a system to reduce the wait time required before it can operate [9]. Since much of the present and future synchronous systems will depend heavily on high speed operation and efficient performances, a need to meet the goals of fast locking time with less jitter accumulation, given a range of frequencies, is necessary to allow proper data synchronization at high speeds. There are several techniques to achieve a fast lock delay-locked loop. This study proposes a new design approach which involves designing a high frequency fast lock delay-locked loop to meet the demands for microprocessor applications, using a 4 cell voltage-controlled delay-line with extended inverters to improve the 50% duty cycle of the DLL output without the need for duty cycle correction, and designing high speed architectures to attain faster locking time and adding a low pass filter in the overall design to reduce the jitter accumulation. 298

detector compares the phase of the reference input and the delay-line output. Figure 1. DLL overall system. The comparison yields a signal proportional to the phase error. It generates up or down synchronized signals to the charge pump. The charge pump converts the digital signal output from the phase detector into an analog signal. The charge pump consists of two switched current sources. It is either a source or sink current according to UP and DN signals. This current is converted into control voltage by the loop filter to feed the voltage-controlled delay-line. The delay of each cell in the VCDL depends on control voltage. Each stage provides a delayed version of this signal. The loop acts as a feedback system, compensating any phase difference between out_clk and ref_clk. Therefore, once the loop is in the locked state, the two signals have exactly the same frequency and are aligned in phase. Extended inverters for each delay cell element was proposed because the required delay has not been achieved with a single inverter, so two inverters were added. These inverters were also used to maintain the 50% duty cycle as much as possible without the need for duty cycle correction. B. Dynamic Phase Detector Phase detector compares the phase at each input and generates an error signal proportional to the phase difference between the two inputs. Fig. 2 shows the dynamic phase detector used in this study. This type of phase detector is widely used recently in high-speed DLL designs. The basic structure of this phase detector includes two blocks, which are used to generate the UP signal and the DOWN signal, respectively. The two blocks have exactly the same design, except that the two input signals are switched in position. Each block consists of two cascaded stages with a pre-charge PMOS in each stage. The pre-charge activity of the second stage is often controlled by the output of the first stage. The dynamic PD eliminates flip-flops and has the advantages of simple structure and a fast transition time. However, the dynamic PD was carefully designed in order to minimize the dead zone. Figure 2. Phase frequency detector using NOR gates C. Charge Pump The schematic of the charge pump is shown in Fig. 3. A single ended switch at the source charge pump is used. The current mismatch between source current and sink current is reduced by ensuring that the source current is the same as the sink current; thus experiencing the same process variations. Studies show that in CMOS circuits, current switching provides a faster switching speed than voltage switching. Figure 3. High switch speed charge pump Switches M1 and M10 are controlled by the PFD outputs (UP & DN). The current mirrors formed by transistors M5, M6 and M7 as well as M3-M4 pair ensure 2014 Engineering and Technology Publishing 299

equal amount of current for UP and DN branches. Transistors M2, M8 and M9 are included to act as dummy switches to reduce timing mismatch. The current source in this design is calculated using the current equation shown in (1). The transistor sizing is chosen so that current mismatch is avoided. 1 W 2 I D uc 2 OX V (1) DSAT L The current equation shown in (1) is used to design the current source in the charge pump. Proper transistor sizing is carefully designed to avoid current mismatch. D. Voltage Controlled Delay Line 1 W 2 I D COX V (1) DSAT 2 L The proposed delay line circuit corresponding to the current mirror arrangement and the first delay cell with extended inverters is shown on Fig. 4, it consists of four delay cells connected in series, which provide four clock phases required to be generated. Each delay cell consists of two current starved inverters along with four normal digital inverters which are used to improve the rise time and fall time of each phase of the clock. control voltage remains stable and the delay cells maintain the delay locked to the clock time period. Exactly opposite thing happens when the output clock phase has higher time period compared to the reference clock. The control voltage decreases in this case; thus decreasing the delay offered by each cell, till the time period of each clock phase locks to the reference clock period. III. SIMULATION RESULTS The simulation of the schematic was carried out in TSMC 0.18um 1P6M CMOS process technology. Fig. 5 and Fig. 6 show the VCDL output with the control voltage and the VCDL output duty cycle at the locked state, respectively. The delayed output waveforms shown are controlled by the control voltage generated by the charge pump. As can be seen from the graph, the control voltage is increasing which means that the reference clock is leading the output clock. All the delay stages in the VCDL are identical with each delay stage, contributing a time delay of 0.25ns. Moreover, in Fig. 6, shows the locked state output waveform of the VCDL. This is justified by a stable control voltage at 1.5V. The graph shows a range of 50 to 50.3% duty cycle of each delays produced by the voltagecontrolled delay-line. Figure 4. Delay cell with extended inverters The delay elements are controlled by the control voltage generated by the charge pump block. This control voltage determines the current through the current mirror of the VCDL. Two inverters were added to the configuration to get the required delay and attain a 50% duty cycle in the output. Initially, one inverter stage is added and sized to get the 50% duty cycle. After correct sizing of the 2 nd inverter stage, the third inverter stage is added to get inverted output of the reference signal. The basic operation of a delay cell is described as follows: If the final output phase lags the reference clock, i.e., it has a lower time period compared to the reference clock, then the control voltage increases; thus increasing the current through the delay cells and thereby increasing the delay of each delay element. Finally the overall time period of the clock phases is increased to match the reference clock period. Once this lock is achieved, the Figure 5. VCDL output Figure 6. VCDL duty cycle Fig. 7 shows the overall output of the delay-locked loop. As can be seen from the graph, the reference clock and the output clock are combined to determine whether or not the DLL is locked. This means that it will verify 2014 Engineering and Technology Publishing 300

International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 whether or not the output clock is aligned with the reference clock. A macro shot shown on Fig. 7 is taken at the locked state of the DLL output. The graph shows that the control voltage is decreasing until it reaches a stable state. This goes to show that at in the stable state of the control voltage, the reference clock and the output clock are aligned, which means it is in a locked state. The design locks at about 200ns. corner models (SS, TT and FF) to study the effects of process variation. It can be seen that no transistor failed during any of the 30 iterations since the output voltage remained stable when varied. Also, shown on Fig. 9 is the overall DLL output which has attained a jitter of about 5.63ps at 1 GHz operation. The specifications summary in Table I. shows that there were improvements in most of the properties of the current design than from previous published works. The proposed delay-locked loop design shows that the DLL operates at a high frequency with an operating frequency range of 520 MHz to 1 GHz. TABLE I. DESIGN SPECIFICATION SUMMARY AND COMPARISON Figure 7. Overall delay locked loop output As compared to other published designs, the proposed design has the most reduced duty cycle error of about 0.6% with a jitter of 5.63ps. Hence, it is capable of generating stable time delays which is necessary for clock generation and signal synchronization. Generally, locking time is related to the speed of the architectures used. Since this design incorporates high speed architectures, the circuit attained a fast locking time of about 200ns, which allows a system to reduce the wait time required before it can operate. The chip layout is shown in Fig. 10 which has a chip area of 0.09703 mm2. Figure 8. Monte carlo analysis at 30 iteration Figure 10. DLL chip core layout Figure 9. Jitter analysis IV. Fig. 8 shows all three corner simulations of the Monte Carlo Analysis for 30 iterations. Both individual and cumulative variation simulations were performed on all 2014 Engineering and Technology Publishing CONCLUSION The designers were able to achieve a high frequency fast lock delay locked loop using a 4 cell delay line with 301

extended inverters operating at a frequency range between 520 MHz to 1 GHz attaining a locking time of about 200ns at 1 GHz operation. The design shows a range of 50-50.3% duty cycle with a 0.6% duty cycle error in its output and its jitter is 5.63ps at 1 GHz with a total core chip area of 219.775 µm x 441.51 µm (0.09703 mm 2 ). This design is suitable for microprocessor and memory IC applications particularly those whose timing relationship is essential. ACKNOWLEDGMENT The authors wish to thank DOST-ERDT Eye-C Program for the research grant in providing the industry standard IC design tools. Special thanks to Synopsys engineers for the technical support. REFERENCES [1] E. Song, S. W. Lee, J. W. Lee, J. Park, and S. Chae, A reset-free anti-harmonic delay-locked loop using a cycle period detector, in Proc. IEEE Journal of Solid-State Circuits, vol. 39, no. 11, November 2004, pp. 2055-2061. [2] Y. Moon, et al., An all-analog multiphase delay-locked loop using a replica delay line for wide-range operation and low-jitter performance, in Proc. IEEE Journal of Solid-State Circuits, vol. 35, no. 3, March 2000, pp. 377-384. [3] J. G. Maneatis, Low-jitter process-independent DLL and PLL based on self-biased techniques, IEEE Journal of Solid-State Circuits, vol. 31, no. 11, p. 1723, November 1996. [4] S. Sidiropoulos and M. A. Horowitz, A semidigital dual delaylocked loop, in Proc. IEEE Journal of Solid-State Circuits, vol. 32, no. 11, November 1997, pp. 1683-1692. [5] W. J. Yun, H. W. Lee, D. Shin, and S. Kim, A 3.57 Gb/s/pin low jitter all-digital DLL with dual DCC circuit for GDDR3 DRAM in 54-nm CMOS technology, in Proc. IEEE Symp. VLSI Circuits, vol. 19, no. 9, September 2011, pp. 1718-1722. [6] B. G. Kim, K. Oh, L. S. Kim, and D. W. Lee, A 500MHz DLL with second order duty cycle corrector for low jitter, in Proc. IEEE Custom Integrated Circuits Conf., 2005, pp. 325-328. [7] Y. J. Jung, et al., A dual-loop delay-locked loop using multiple voltage- controlled delay lines, in Proc. IEEE J. Solid-State Circuits, vol. 36, no. 5, May 2001, pp. 784-785. [8] C. K. K. Yang, Delay-locked loops An overview, B. Razavi, ed. Phase-Locking in High Performance Systems, IEEE Press, New York, 2003, p. 15. [9] L. K. Soh, et.al., Fast-lock dual charge pump analog DLL using improved phase frequency detector, in Proc. IEEE International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2007, pp. 1-5. Jefferson A. Hora received his bachelor degree in Electronics and Communications Engineering from the Mindanao State University-Iligan Institute of Technology (MSU-IIT), Philippines, in 2002 and his M.S. in Electrical Engineering major in IC Design from National Taipei University, Taiwan, in 2009. He has been an IC Design Engineer in Service & Quality Technology Co.,Ltd, Taipei, Taiwan from 2009-2010. Recently, a faculty member as Asst. Professor of MSU-IIT since 2010, and is a faculty affiliate and adviser of the Microelectronics Laboratory. His research interest focuses in power management IC, RF- DC converter, analog IC, FPGA design and prototype. Vincent Alan Heramiz and Pleiades Faith Longakit received their bachelor degree in Electronics Engineering in from the Mindanao State University-Iligan Institute of Technology (MSU-IIT), Philippines, in 2013. 2014 Engineering and Technology Publishing 302