Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Similar documents
Projection Systems for Extreme Ultraviolet Lithography


Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Optics for EUV Lithography

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Optics for next generation light sources

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Diffractive optical elements and their potential role in high efficiency illuminators

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Computer Generated Holograms for Optical Testing

Why is There a Black Dot when Defocus = 1λ?

Design of the cryo-optical test of the Planck reflectors

3.0 Alignment Equipment and Diagnostic Tools:

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Lander University 10 Spin-Cast Epoxy Mirror Tests Bruce Holenstein and Dylan Holenstein/Gravic March 12, 2011 *** Preliminary ***

Lens Design I. Lecture 5: Advanced handling I Herbert Gross. Summer term

EUV projection optics and active mirror development at SAGEM

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

NIST EUVL Metrology Programs

EUV Interference Lithography in NewSUBARU

Fabrication and testing of large free-form surfaces Jim H. Burge

Extreme Ultraviolet Lithography for 0.1 pm Devices

Recent Development Activities on EUVL at ASET

Aspheric Lenses. Contact us for a Stock or Custom Quote Today! Edmund Optics BROCHURE

Hartmann wavefront sensing Beamline alignment

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes

Characterisation of a novel super-polished bimorph mirror

EUV Lithography Transition from Research to Commercialization

EUV Multilayer Fabrication

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Development of ultra-fine structure t metrology system using coherent EUV source

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Use of Computer Generated Holograms for Testing Aspheric Optics

Large-Area Interference Lithography Exposure Tool Development

EUVL Activities in China

Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

Deformable MEMS Micromirror Array for Wavelength and Angle Insensitive Retro-Reflecting Modulators Trevor K. Chan & Joseph E. Ford

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Comparison of actinic and non-actinic inspection of programmed defect masks

Testing an off-axis parabola with a CGH and a spherical mirror as null lens

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Wavefront-Guided Programmable Spectacles Related Metrics

2009 International Workshop on EUV Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Improving the Collection Efficiency of Raman Scattering

Proposed Adaptive Optics system for Vainu Bappu Telescope

Integrated into Nanowire Waveguides

Inverted-COR: Inverted-Occultation Coronagraph for Solar Orbiter

Optical Design with Zemax

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

Technology Days GSFC Optics Technologies. Dr. Petar Arsenovic

A process for, and optical performance of, a low cost Wire Grid Polarizer

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

OPAL. SpotOptics. AUTOMATED WAVEFRONT SENSOR Single and double pass O P A L

Synthesis of projection lithography for low k1 via interferometry

Paper Synopsis. Xiaoyin Zhu Nov 5, 2012 OPTI 521

Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5

Cardinal Points of an Optical System--and Other Basic Facts

Collector development with IR suppression and EUVL optics refurbishment at RIT

Adaptive Optics for LIGO

ABSTRACT 1. INTRODUCTION

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Solution of Exercises Lecture Optical design with Zemax Part 6

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Mirrors. Plano and Spherical. Mirrors. Published on II-VI Infrared

OPTICS OF SINGLE BEAM, DUAL BEAM & ARRAY RECEIVERS ON LARGE TELESCOPES J A M E S W L A M B, C A L T E C H

Flare compensation in EUV lithography

SpotOptics. The software people for optics OPAL O P A L

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

First lithographic results from the extreme ultraviolet Engineering Test Stand

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation

Lens Design I. Lecture 5: Advanced handling I Herbert Gross. Summer term

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

Refractive index homogeneity TWE effect on large aperture optical systems

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA

Collimation Tester Instructions

Optolith 2D Lithography Simulator

Aberration Theory and Prototype Mirror Experiments

Solution of Exercises Lecture Optical design with Zemax for PhD Part 8

October 7, Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA Dear Peter:

Surface metrology and polishing techniques for current and future-generation EUVL optics

OPTINO. SpotOptics VERSATILE WAVEFRONT SENSOR O P T I N O

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Sub-50 nm period patterns with EUV interference lithography

Handbook of Optical Systems

Mask Technology Development in Extreme-Ultraviolet Lithography

Transcription:

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor b,e a Intel Corporation b UC Berkeley c Lawrence Livermore National Laboratory d ASML Optics e Lawrence Berkeley National Laboratory 10//2003 Page 1

outline Introduction: F2X system configuration for resist screening System improvement 10X-Schwarzchild Optics Required optics specification for F2X Fabrication of 10X-Schwarzschild optics Mo/Si multilayer (ML) coating of the optics Assembly and alignment of 10X-Schwarzschild optics Clocking procedure with CODE V Visible light alignment with PSDI At-wavelength alignment with PS/PDI Imaging results with the new 10X-Schwarzschild Future work and Conclusion 10//2003 Page 2

Objective The F2X (frequency doubling) system is an interference-based imaging tool with a 10X- Schwarzschild optic operating at 13.4 nm wavelength. The objective is to show mirror specifications and requirements for the F2X system, mirror fabrication process, Mo/Si multilayer coating process, and system assembly and alignment of the 10X-Schwarzschild optics. 10//2003 Page 3

Imaging System for F2X 10X Schwarzschild optics is used at the Advance Light Source (ALS) beamline 12.0 to perform F2X. (20X demagnification of object grating pitch) 10X demagnification optics same type of optics as the 10X microstepper at Sandia National Laboratories. or Object grating is openstencil (Ni absorber on Si 3 N 4 ) 40 micron square This system demagnifies and doubles the grating pitch at the image plane creating a possible pattern range from: 200 nm to 25 nm pitch 10//2003 Page 4

Imaging Pupil of 10X-Schwarzschild optics X -1 X 0 X +1 X +1 X 0 X -1 X 0 X -1 X +1 X 0 10X Schwarzschild Standard Imaging: 10X-Microstepper Aperture Stops 10X Schwarzschild Spatial Freq. Doubling: F2X entire pupil of 10x optics: Similar to MET X X -1 X 0 X +1 +1 X -1 X +1 X -1 NA~0.088 NA~0.27 10//2003 Page 5

System upgrades are required to improve image quality New high-quality optic is required to improve image quality. - Polishing improvement in mid spatial frequency regions to minimize speckle patterns. The field size is 4 µm x 4 µm square. 10x-Schwarzschild Optics 10//2003 Page 6

Optics requirements Divided mid-spatial frequencies into three regions based on scattering contribution in the image plane. MSFE1 covers upto 4 µm in diameter at the image plane. MSFE2 covers upto 400 µm in diameter at the image plane. MSFE3 covers the rest of scattering Since the image size is 4 µm, most improvement is required in MSFE1. primary mirror secondar mirror Improvement over old 10X final spec in RSS of two surfaces (nm) proposed spec by ASML (nm) Figure (nm) upto 4.6 cycles/ca, upto 1 /mm upto 4.6 cycles/ca, 0.1-0.186 /mm 20% 0.45 0.57 4.6-10 cycles/ca, 1 4.6-10 cycles/ca, MSFE1 (nm) - 2.2 /mm 0.186-0.398 /mm 33% 0.12 0.15 MSFE2 (nm) 10-2760 cycles/ca, 2.2-600 /mm 10-2760 cycles/ca, 0.398-107 /mm 20% 0.20 0.20 2760-4600 cycles/ca, 2760-4600 cycles/ca, MSFE3 (nm) 600-1000 /mm 107-186 /mm 10% 0.04 0.04 HSF (nm) 1000-50000 /mm 186-50000 /mm 0% 0.21 0.18 MSFT RSS (nm) 4.6-4600 cycles/ca, 1-1000 /mm Field size of interest 4.6-4600 cycles/ca, 0.186-186 /mm NA 0.23 0.25 10//2003 Page 7

Fabrication of 10x-Optics Two mirrors (primary and secondary) have been polished by ASML Optics. Best effort was put into improve MSF1 (Mid-spatial Frequency 1). Took ~ 8 months to complete the fabrication, and based on ASML Optics metrology data, requested specs were met. Later observed some highly visible tool marks on the mirror surfaces. Metrology table Figure MSF1 MSF2 HSF Primary Instruments Zoomed PMI, PMI 10x PMM 50x PMM AFM Secondary Instruments PMI, Zoomed PMI, 10x PMI PMM 50x PMM AFM Final data Cycles/aperture Spec Prrmary Secondary RSS spec RSS Achieved (nm rms) (nm rms) (nm rms) (nm rms) (nm rms)*** Figure** 1-4.6 0.4 0.412 0.4 N/A N/A MSF1 4.6-10 0.1 0.039 0.040 0.145 0.08 MSF2 10-2760 0.14 0.076 0.062 0.2 0.18 MSF3 2760-4600 0.02, 0.03 0.039 0.031 0.04 0.05 HSF 4600-50000/mm 0.1, 0.15 0.112 0.119 0.021 0.16 10//2003 Page 8

Fabrication steps and Metrology Manufacturing Steps Machine to in-process shape Figure polish: spherical polish, and CCOS Grind and polish optical surface Metrology metrology Power (nm^2*mm) 1.0E+01 1.0E+00 1.0E-01 1.0E-02 1.0E-03 1.0E-04 1.0E-05 1.0E-06 1.0E-07 1.0E-08 100 10X-2002 EL2 - Metrology Instrument PSD Overlay 10 1 Figure Mid-Freq Inter. 0.1 PMM - 10X PMM - 50X 0.01 Spatial Period (mm) Final machining 0.001 AFM - 10x10 AFM - 1x1 0.0001 0.00001 Power (nm^2*mm) Final metrology 10X-2002 EL2 Composite and Polyfit PSD Plots 1.0E+01 1.0E+00 1.0E-01 1.0E-02 1.0E-03 1.0E-04 1.0E-05 1.0E-06 1.0E-07 1.0E-08 100 10 1 0.1 0.01 Spatial Period (mm) PSD 10X-2002 Composite PSD 10X-2002 PolyFit PSD 0.001 0.0001 0.00001 10//2003 Page 9

Mo/Si Coating of the Optics 40 bilayers have been coated at Lawrence Livermore National Lab, and reflectivity and uniformity were measured at the Advanced Light Source. Multilayer thickness profile results as determined by EUV reflectance measurements Normalized thickness profile 1.04 1.02 1.00 Intel 10X camera optics Primary S/N 5 S/N 6 Prescription Secondary 0.98 0 10 20 30 40 Radius (mm) Reflectance (%) All 10X camera optics achieved excellent reflectance uniformity across their surface, indicating uniform substrate finish 70 68 66 64 62 Primary S/N 5 Primary S/N 6 60 3 4 5 6 7 Radius r (mm) Multilayer-added figure errors are well within the 0.1 nm rms spec EUV reflectance values are consistent with substrate roughness Wavelength results are well within the spec of ±0.1 nm from target (13.350 nm) and the spec of ±0.05 nm optic-to-optic matching 10//2003 Page 10

Full mirror interferograms (corresponds to NA of 0.29) Assembly and alignment: Code V Clocking the Interferograms Primary mirror.4794.0722 -.3350 Wavefront Aberration (waves) Secondary mirror.5089 -.0861 -.6810 Wavefront Aberration (waves) Clocked Sub-aperture Small area of combined optic (corresponds to NA of 0.08).2243 0.0 -.2240 Wavefront Aberration (waves) 10//2003 Page 11

Assembly and alignment: Visible light interferometry (lensless PSDI) LLNL s PSDI was used to align the optics at visible light. Initial alignment was performed using a star test. Alignment were carried over three sub apertures of the optics. LENSLESS INTERFEROMETRY SMD CAMERA 163 MM FROM PINHOLE 0 subaperture masked by 80% N=2 fringe print-through eliminated 0.36 nm first 36 Zernikes 0.49 nm f < 30 mm -1 225 1.15nm 1.23nm 135 1.85nm 1.5 1 0.5 0-0.5-1 1.9nm 0.74nm -1.5-1.5-1 -0.5 0 0.5 1 1.5 0.46nm 10//2003 Page 12

Assembly and alignment: At-wavelength interferometry (PS/PDI) Tool marks are observed on the surfaces of the optics possible performance degradation due to these pronounced marks. ~1.5 mm Tool marks observed at wavelength 10//2003 Page 13

Assembly and alignment: At-wavelength interferometry (PS/PDI) LBNL s PS/PDI was used to align the optics at wavelength. EUV Visible EUV and visible-light wavefronts on a restricted, 0.07 NA sub-aperture. 36 Zernike terms for EUV and visible light interferometry measurements. Over 0.07 NA, the EUV-visible-light difference wavefront magnitude is 0.336 nm within the first 37 Zernike terms. When astigmatism is removed from consideration, the RMS difference wavefront magnitude becomes 0.165 nm. 10//2003 Page 14

New Images - Commissioning 50 nm lines/space 40 nm lines/ 60nm space 37.5 nm lines and LER = 4.3 nm rms (3 sigma) Shipley resist 120 nm thick no gold coating 10//2003 Page 15

Summary Fabrication Mirror specifications were set to eliminate speckle patterns observed at the image plane. ASML Optics met the specifications based on their metrology data, but some tool marks on the mirrors surfaces were observed. MO/So ML coating Uniform and highly reflective Mo/Si ML have been coated. Assembly and alignment A clocking study was used to identify the best combination and orientation for the mirrors. A star test was used for initial assembly, and the final alignment was done with a visible light interferometer (100pm PSDI). At-wavelength alignment and transmission (PS/PDI) were performed successfully. Preliminary imaging results show improvement, but some speckle patterns are still observed. Work is on going to find the best spot in the optics. The whole process from specification to imaging took ~1 year. The program successfully demonstrated a fast turn around for EUV optics from fabrication through assembly. 10//2003 Page 16