FPGA Implementation of an Intigrated Vedic Multiplier using Verilog

Similar documents
Bhawna Bishnoi 1, Ghanshyam Jangid 2

Volume 1, Issue V, June 2013

Oswal S.M 1, Prof. Miss Yogita Hon 2

Hardware Implementation of 16*16 bit Multiplier and Square using Vedic Mathematics

A NOVEL APPROACH OF VEDIC MATHEMATICS USING REVERSIBLE LOGIC FOR HIGH SPEED ASIC DESIGN OF COMPLEX MULTIPLIER

FPGA Implementation of Low Power and High Speed Vedic Multiplier using Vedic Mathematics.

Design and FPGA Implementation of 4x4 Vedic Multiplier using Different Architectures

Pipelined Linear Convolution Based On Hierarchical Overlay UT Multiplier

Implementation and Analysis of Power, Area and Delay of Array, Urdhva, Nikhilam Vedic Multipliers

A Time-Area-Power Efficient High Speed Vedic Mathematics Multiplier using Compressors

Implementation of LMS Adaptive Filter using Vedic Multiplier

High Speed Vedic Multiplier in FIR Filter on FPGA

PIPELINED VEDIC MULTIPLIER

OPTIMIZATION OF PERFORMANCE OF DIFFERENT VEDIC MULTIPLIER

Fpga Implementation Of High Speed Vedic Multipliers

Delay Comparison of 4 by 4 Vedic Multiplier based on Different Adder Architectures using VHDL

Comparative Analysis of Vedic and Array Multiplier

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier

DESIGN OF A HIGH SPEED MULTIPLIER BY USING ANCIENT VEDIC MATHEMATICS APPROACH FOR DIGITAL ARITHMETIC

Compressors Based High Speed 8 Bit Multipliers Using Urdhava Tiryakbhyam Method

2. URDHAVA TIRYAKBHYAM METHOD

Design of A Vedic Multiplier Using Area Efficient Bec Adder

FPGA Implementation of Complex Multiplier Using Urdhva Tiryakbham Sutra of Vedic Mathematics

High Speed Low Power Operations for FFT Using Reversible Vedic Multipliers

Optimized high performance multiplier using Vedic mathematics

Design of 32 Bit Vedic Multiplier using Carry Look Ahead Adder

Design & Implementation of High Speed N- Bit Reconfigurable Multiplier Using Vedic Mathematics for DSP Applications

Fast Fourier Transform utilizing Modified 4:2 & 7:2 Compressor

Comparative Analysis of 16 X 16 Bit Vedic and Booth Multipliers

Performance Comparison of Multipliers for Power-Speed Trade-off in VLSI Design

DESIGN AND FPGA IMPLEMENTATION OF HIGH SPEED 128X 128 BITS VEDIC MULTIPLIER USING CARRY LOOK-AHEAD ADDER

International Journal of Advance Engineering and Research Development

VLSI Design of High Performance Complex Multiplier

A Compact Design of 8X8 Bit Vedic Multiplier Using Reversible Logic Based Compressor

A Survey on Design of Pipelined Single Precision Floating Point Multiplier Based On Vedic Mathematic Technique

Keywords Multiplier, Vedic multiplier, Vedic Mathematics, Urdhava Triyagbhyam.

Research Journal of Pharmaceutical, Biological and Chemical Sciences

PERFORMANCE COMPARISION OF CONVENTIONAL MULTIPLIER WITH VEDIC MULTIPLIER USING ISE SIMULATOR

DESIGN OF HIGH SPEED MULTIPLIERS USING NIKHIALM SUTRA ALGORITHM

High Speed 16- Bit Vedic Multiplier Using Modified Carry Select Adder

VLSI Design and Implementation of Binary Number Multiplier based on Urdhva Tiryagbhyam Sutra with reduced Delay and Area

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Design and Implementation of an Efficient Vedic Multiplier for High Performance and Low Power Applications

FPGA Implementation of MAC Unit Design by Using Vedic Multiplier

HIGH SPEED APPLICATION SPECIFIC INTEGRATED CIRCUIT (ASIC) DESIGN OF CONVOLUTION AND RELATED FUNCTIONS USING VEDIC MULTIPLIER

DESIGN AND IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING VEDIC MATHEMATICS

Performance Analysis of 4 Bit & 8 Bit Vedic Multiplier for Signal Processing

Novel High speed Vedic Multiplier proposal incorporating Adder based on Quaternary Signed Digit number system

IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER

CO JOINING OF COMPRESSOR ADDER WITH 8x8 BIT VEDIC MULTIPLIER FOR HIGH SPEED

I. INTRODUCTION II. RELATED WORK. Page 171

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND

Design and Implementation of a delay and area efficient 32x32bit Vedic Multiplier using Brent Kung Adder

DESIGN OF 64-BIT ALU USING VEDIC MATHEMATICS FOR HIGH SPEED SIGNAL PROCESSING RELEVANCE S

COMPARISON BETWEEN ARRAY MULTIPLIER AND VEDIC MULTIPLIER

Design and Implementation of an N bit Vedic Multiplier using DCT

IMPLEMENTATION OF HIGH SPEED LOW POWER VEDIC MULTIPLIER USING REVERSIBLE LOGIC

Design, Implementation and performance analysis of 8-bit Vedic Multiplier

ISSN Vol.02, Issue.11, December-2014, Pages:

Study, Implementation and Comparison of Different Multipliers based on Array, KCM and Vedic Mathematics Using EDA Tools

Design of 64 bit High Speed Vedic Multiplier

FPGA Based Vedic Multiplier

FPGA Implementation of Multiplication and Accumulation Unit using Vedic Multiplier and Parallel Prefix adders in SPARTAN 3E

Reverse Logic Gate and Vedic Multiplier to Design 32 Bit MAC Unit

ISSN Vol.02, Issue.08, October-2014, Pages:

International Journal of Modern Engineering and Research Technology

FPGA Implementation of a 4 4 Vedic Multiplier

Design and Implementation of 8x8 VEDIC Multiplier Using Submicron Technology

Design of High Speed 32 Bit Multiplier Architecture Using Vedic Mathematics and Compressors

Efficient Vedic Multiplication Oriented Pipeline Architecture with Booth/Baugh Wooley Comparisons

Fpga Implementation of 8-Bit Vedic Multiplier by Using Complex Numbers

FPGA Implementation of High Speed Linear Convolution Using Vedic Mathematics

Implementation of High Speed Signed Multiplier Using Compressor

Review Paper on an Efficient Processing by Linear Convolution using Vedic Mathematics

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER

VLSI IMPLEMENTATION OF ARITHMETIC OPERATION

DESIGN AND IMPLEMENTATION OF 128-BIT MAC UNIT USING ANALOG CADENCE TOOLS

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

Area Efficient Modified Vedic Multiplier

Realisation of Vedic Sutras for Multiplication in Verilog

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

LOW POWER SQUARE AND CUBE ARCHITECTURES USING VEDIC SUTRAS

A 32 BIT MAC Unit Design Using Vedic Multiplier and Reversible Logic Gate

High-Speed and Energy-Efficient MAC design using Vedic Multiplier and Carry Skip Adder

Review on a Compressor Design and Implementation of Multiplier using Vedic Mathematics

Vhdl Implementation and Comparison of Complex Multiplier Using Booth s and Vedic Algorithm

Design and Implementation of Pipelined 4-Bit Binary Multiplier Using M.G.D.I. Technique

Design of Arithmetic Unit for High Speed Performance Using Vedic Mathematics Rahul Nimje, Sharda Mungale

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2

ISSN:

Design and Implementation of High Speed 8-Bit Vedic Multiplier on FPGA

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

High Speed and Low Power Multiplier Using Reversible Logic for Wireless Communications

FPGA Implementation & Performance Comparision of Various High Speed unsigned Binary Multipliers using VHDL

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of Modified High Speed Vedic Multiplier Using Modified Kogge Stone ADD ER

AN NOVEL VLSI ARCHITECTURE FOR URDHVA TIRYAKBHYAM VEDIC MULTIPLIER USING EFFICIENT CARRY SELECT ADDER

High Performance Vedic Multiplier Using Han- Carlson Adder

Design of High Speed MAC (Multiply and Accumulate) Unit Based On Urdhva Tiryakbhyam Sutra.

Transcription:

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 06, 2014 ISSN (online): 2321-0613 FPGA Implementation of an Intigrated Vedic using Verilog Kaveri hatti 1 Raju Yanamshetti 2 1 VLSI Design and Embedded System 2 Depaetment of Electronicsand Communication Engineering 1 Visveswaraya Technological University, Regional office, Gulbarga, Karnataka, India. 2 P.D.A College Abstract An integrated Vedic multiplier is special type of multiplier architecture, based on the length of the input bits architecture selects the appropriate multiplication sutra, is proposed. Aim of the multiplication sutras is to reduce the partial products, all the partial products are generated in single step, summing of these partial products results in final product. This reveals a speedup of proposed multiplier than the conventional multiplier. Key words: Microprocessors, EDA (Electronic Design Automation), Vedic Architecture, Gate Delay I. INTRODUCTION The multiplier is a fairly large block of a computing system. The amount of circuitry involved is directly proportional to the square of its resolution i.e. A multiplier of size n bits has n 2 gates. For multiplication algorithms performed in DSP applications latency and throughput are the two major concerns from delay perspective. Latency is the real delay of computing a function, a measure of how long the inputs to a device are stable is the final result available on outputs. Throughput is the measure of how many multiplications can be performed in a given period of time; multiplier is not only a high delay block but also a major source of power dissipation. That s why if one also aims to minimize power consumption, it is of great interest to reduce the delay by using various delay optimizations. Digital multipliers are the core components of all the digital signal processors (DSPs) and the speed of the DSP is largely determined by the speed of its multipliers. Two most common multiplication algorithms followed in the digital hardware are array multiplication algorithm and Booth multiplication algorithm. The computation time taken by the array multiplier is comparatively less because the partial products are calculated independently in parallel. The delay associated with the array multiplier is the time taken by the signals to propagate through the gates that form the multiplication array. Booth multiplication is another important multiplication algorithm. Large booth arrays are required for high speed multiplication and exponential operations which in turn require large partial sum and partial carry registers. A large propagation delay is associated with this case. Due to the importance of digital multipliers in DSP, it has always been an active area of research and a number of interesting multiplication algorithms have been reported in the literature. II. RELATED WORK In many real time signal and image processing applications higher throughput arithmetic operations are important to achieve the desired performance. One of the important and frequently used arithmetic operation in such application is multiplication. The development of fast multiplier circuit has been a subject of interest over decades. Aim of the of Engineering, Gulbarga, Karnataka, India design is to reduce the time delay, power consumption and area.a high speed multiplier design (ASIC) using Vedic mathematics was presented in[1].the idea for designing the multiplier and adder unit was adapted from ancient Indian mathematics Vedas based on those formulae, the partial products and sums are generated in single step which reduces the carry propagation from LSB to MSB. The implementation of the Vedic mathematics and their application to the complex multiplier ensured substantial reduction of propagation delay in comparison with Distributed Array (DA) based architecture and parallel adder based implementation which are most commonly used architectures. The implementation of the Vedic algorithms in DSP is highlighted in [2].In this, multiplication process based on Vedic mathematics and its implementation on 8085 and 8086 microprocessors was shown. A comparative study of processing time of conventional multipliers for 8085 and 8086 was done. It was shown that there is an appreciable saving in the processing time of the Vedic multiplier as when compared to that of conventional multiplier. A time, area, power efficient multiplier architecture using Vedic mathematics was shown in [3]. In this a comparative study of the array multiplier, carry save multiplier,wallace tree multiplier, Booth multiplier and Vedic multiplier was done in detail. The study clearly showed that though array and Booth multipliers are faster among the conventional multipliers, they are so because of some tread off with complexity and high power consumption respectively. A fast and low power consumption based on Vedic mathematics was shown in [4]. This paper presented a new architecture for multiplication which use the modified binary tree network (MBT). This architecture focuses on generating all partial products in one step. This generated partial products are added by the MBT network. This also showed evidence of increase in speed. Reduced bit multiplication algorithm for digital arithmetic was shown in [5]. Paper presents,in depth explanation of Urdhva Tiryakbhyam sutra and the Nikhilam sutra. These sutras are the extracts from the Vedas which are the store house of knowledge. The former was suggested for smaller numbers and the latter suggested for large numbers. This paper showed that multiplication of two 8 bit numbers can be effected by reducing it further into two 4 bit numbers and likewise. VHDL implementation of a NXN multiplier based on the Vedic mathematics was shown in [6]. This proposed a way to implement the design of Urdhva sutra based multiplier as a bottom up design methodology. A novel design for square and cube architecture was shown in [7]. It was very clearly evident from the explanation that, the Vedic square and cube architectures were faster than the conventional square and cube calculations. All the Vedic based calculations, sutra explanations and complete discussion were made in [8]. All rights reserved by www.ijsrd.com 177

FPGA Implementation of an Intigrated Vedic using Verilog III. DESIGN APPROACH A. Urdhaya Triyakbhyam Sutra Illustration Multiplication is based on an algorithm called Urdhva Tiryakbhyam (Vertical and Crosswise) of ancient Indian Vedic Mathematics. Urdhva Tiryakbhyam Sutra is a general multiplication formula applicable to all cases of multiplication. The Sanskrit term means Vertically and crosswise. The idea here is based on a concept which results in the generation of all partial products along with the concurrent addition of these partial products in parallel [5]. The parallelism in generation of partial products and their summation is obtained using Urdhva Tiryakbhyam explained in Fig.1. Since there is a parallel generation of the partial products and their sums, the processor becomes independent of the clock frequency. Thus the multiplier will require the same amount of time to calculate the product and hence is independent of the clock frequency. The advantage here is that parallelism reduces the need of processors Fig.1 : Multiplication of two numbers using Urdhva sutra to operate at increasingly high clock frequencies. A higher clock frequency will result in increased processing power, and its demerit is that it will lead to increased power dissipation resulting in higher device operating temperatures. By employing the Vedic multiplier, all the demerits associated with the increase in power dissipation can be negotiated. Since it is quite faster and efficient its layout has a quite regular structure. Owing to its regular structure, its layout can be done easily on a silicon chip [4]. The Vedic multiplier has the advantage that as the number of bits increases, gate delay and area increases very slowly as compared to other multipliers, thereby making it time, space and power efficient. It is demonstrated that this architecture is quite efficient in terms of silicon area/speed [4]. Line diagram for the multiplication is shown in Fig.2. Initially the LSB digits on the both sides of the line are multiplied and added with the carry from the previous step. This generates one of the bits of the result and a carry. This carry is added in the next step and the process goes on likewise. If more than one line are there in one step, all the results are added to the previous carry. In each step, least significant bit act as the result digit and all other digits act as carry for the next step. Initially the carry is taken to be zero. To make the methodology more clear, an alternate illustration. Fig. 2: Line diagram of the multiplication is given with the help of line diagrams in Fig 2, where the dots represent bit 0 or 1 [4]. Here in order to illustrate the multiplication algorithm, we consider the multiplication of two binary numbers a3a2a1a0 and b3b2b1b0. As the result of this multiplication would be more than 4 bits, it is expressed as...r3r2r1r0. Line diagram for multiplication of two 4-bit numbers is shown in Fig 2. This just maps the illustration in Fig 1 in binary system. Least significant bit r0 is obtained by multiplying the least significant bits of the multiplicand and the multiplier. The process is followed as per the steps shown in Fig 1. The same method is used and the design for multiplication of two bit numbers is done using the bottom p methodology. The architecture is shown in Fig 3 which is followed as per the concept of [9][10]. A31-A0 and B31-B0 generates Q31-Q0 of the output and the remaining bits are forwarded as carry to next. A31-A0, B63-B32 and A63-A32, B31-B0 and the carry from previous step together forms Q63- Q32 of the output and the remaining bits are forwarded to the next. Finally A63-A32 and B63-B32 and the carry from the previous step together form the Q127-Q of the output. Thus a bit multiplication results by multiplying four 32 bit numbers in parallel. Fig. 3: Architecture of bit Urdhva sutra [9][10] B. Nikhilam sutra illustration The Sanskrit term Nikhilam means all from 9 and last from 10. It is also applicable to all cases of multiplication, but it tends to be more efficient when the numbers involved are large. This is because, it just finds out the compliment of the large number from its nearest base to perform the multiplication operation on it [4]. Larger the original number, lesser the complexity of the multiplication. This sutra is illustrated by considering the multiplication of two decimal numbers (94 * 88) where the chosen base is 100 which is nearest to and greater than both these two numbers. The right hand side (RHS) of the product can be obtained by simply multiplying the numbers of the Column 2 (6*12 = 72). The left hand side(lhs) of the product can be found by cross subtracting the second number of Column 2 from the first number of All rights reserved by www.ijsrd.com 178

FPGA Implementation of an Intigrated Vedic using Verilog Column 1 or vice versa, i.e., 94-12 = 82 or 88-6 = 82. The final result is obtained by concatenating RHS and LHS (Answer = 8272).The proposed Nikhilam sutra architecture is shown in Fig 4 and is based on the above illustration of the sutra. OFF. This accounts for low power consumption of the proposed architecture. A. Simulation Result IV. RESULT Fig. 4: Nikhilam sutra illustration Fig. 7: Simulation result of x Urdhva multiplier Here a and b are the two bit inputs (unsigned decimal) and mulout is the output which results in a 128 bit binary number. Corresponding hexadecimal values are shown in the output. Fig. 5: Proposed Architecture of Nikhilam sutra multiplier It is known from literature that Urdhva based multiplier is expected to work faster for small inputs and Nikhilam sutra based multiplier for large inputs. Hence, Integrated Vedic Architecture is proposed in this paper, which is capable of selecting the better multiplier sutra based on the inputs given. The proposed Integrated Vedic Architecture is shown in Fig 6. Fig. 8: Simulation result of Proposed x Nikhilam multiplier Fig. 6 Proposed Integrated Vedic multiplier Architecture The concept is that, the initial conditions are set at the start (say) at around 20 percentage from the nearest base as the Nikhilam limit. If the inputs lie inside Urdhva limit, Urdhava based multiplier will perform the multiplication and if the inputs lie inside Nikhilam limit, Nikhilam based multiplier will perform the multiplication. This is extended for all higher order cases. This proposed architecture is aimed at achieving faster results. Also, when one multiplier is ON, the other is Fig. 9: Simulation result of Proposed x Integrated Architecture Fig shows the output of the proposed Integrated Architecture. This clearly shows that based on the conditions, only one multiplier sutra performs the multiplication at any given time. When the inputs are large and close to the base such as 100, 1000, 10000, etc., Nikhilam sutra does the multiplication and saves time. When any other normal input is given (i.e.) when the inputs are small, Nikhilam sutra stops working and Urdhva multiplier performs the multiplication. Thus this proposed paper becomes an Integrated Vedic Architecture which ensures that the best multiplier is performing the multiplication and saves time depending on the given inputs. B. Speed Analyses Report The proposed Vedic multiplier is coded in Verilog language, synthesized and simulated using EDA tool Xilinx ISE12.2. Finally the results are compared with Conventional multipliers to show the significant improvement in its efficiency in terms of All rights reserved by www.ijsrd.com 179

FPGA Implementation of an Intigrated Vedic using Verilog path delay (speed).the high speed processor requires high speed multipliers and the Vedic Multiplication technique is very much suitable for this purpose. Though proposed multiplier was implemented and verified for x bit, 32x32 bit and x bit only x and x bit results are tabulated for comparison of results. The designs of x bits and x bits Vedic multiplier have been implemented for a series of multiplicands each. It is therefore seen from Table.1.that, on an average, in case of lower bit multipliers, Urdhva performs better than Nikhilam because of the small size of the multiplicands. However, as the size of the multiplicands increase, Nikhilam performs much faster than Urdhva and achieves an increase more than twice as fast in speed for bit multiplicands. Combinational path delay of Vedic and conventional multipliers are shown in Table 1 and Table 2. Urdhva Nikhilam Device Name Spartan3E 24.817 40.496 19.989 28.009 Spartan6 18.919 27.285 20.262 21.260 Vertex5 14.914 24.071 11.433 14.297 Table 1: Vedic s The designs of x bit and x bits conventional multiplier have been implemented on Xilinx ISE 12.2 series for a series of multiplicands each. The speed analysis is as shown with different devices in Table.2. Binary Array Booth Device Name Spartan3E 37.8 111 67 270 23.6 67.1 Spartan6 11.7 100 50.8 205 13.4.1 Vertex5 19.6 66.1 31.4 124 11.9 31.8 Table 2: Conventional s Analyses of the utilization of the from the maxi- mum available for spartan3e,spartan6 and vertex5 are tabulated in Table 3 and 4 shows the (area) used and percentage of utilized of different devices for Vedic and conventional multiplier. From the above tables shows Vedic multipliers are faster than the conventional multipliers. Urdhva Nikhilam ( utilized / %) ( utilized / %)) Device Name Spartan3E 960) Spartan6 2400) 324/33 5465/569 4/17 749/78 461/19 8013/333 189/7 53/68 Vertex5 19200) Multipli er Device Name Spartan3 E 960) Spartan6 2400) Vertex5 19200) 468/2 8123/42 219/1 668/3 Table 3: Vedic s Binary ( utilized / %) 26 9/ 28 38 3/ 15 26 2/ 01 4496/4 68 4096/1 70 4125/2 1 Array ( utilized / %) 397/ 41 523/ 21 541/ 02 6798/7 08 388/ 338 9320/4 8 Table 4: Conventional Booth ( utilized / %) 30 4/ 31 38 8/ 42 2/ 02 5125/5 33 6113/2 54 70/3 6 V. CONCLUSION Depending on the inputs, the better sutra is selected by the architecture itself. The time taken for multiplication operation is reduced by employing the Vedic algorithms. In case of the lower bit multipliers, Urdhva sutra performs better than Nikhilam sutra because of the small size of the multiplicands. However,as the size of the multiplicands increase,nikhilam performs much faster than the Urdhva and achieves an increase more than twice as fast in speed for bit multiplicands. Hence integrated Vedic multiplier architecture id proposed for implementation of the Vedic multiplier, divider block, multiply and accumulate (MAC) unit, cube root block there by making into a Vedic Arithmetic and logic unit(valu). REFERENCES [1] Prabir Saha, Arindham Banerjee, Partha Battacharyya, Anup Dhandapat, High speed design of complex multiplier using Vedic mathematics, Proceedings of the 2011 IEEE students technology symposium, IIT Kharagpur, pp. 237-241, Jan. 2011. [2] Purushottam D. Chidgupkar and Mangesh T. Karad, The Implementation of Vedic Algorithms in Digital Signal Processing, UICEE, Global J. of Engng. Educ., Vol.8, No.2, pp. 153-158, 2004. [3] Himanshu Thapliyal and Hamid R. Arabnia, A Time-Area- Power Efficient and Square Architecture Based On Ancient Indian Vedic Mathematics, Department of Computer Science, The University of Georgia, 415 Graduate Studies Research Center Athens, Georgia 30602-7404, U.S.A. [4] E. Abu-Shama, M. B. Maaz, M. A. Bayoumi, A Fast and Low Power Architecture, The Center All rights reserved by www.ijsrd.com 180

FPGA Implementation of an Intigrated Vedic using Verilog for Advanced Computer Studies, The University of Southwestern Louisiana Lafayette, LA 70504. [5] Harpreet Singh Dhillon and Abhijit Mitra, A Reduced- Multiplication Algorithm for Digital Arithmetics, International Journal of Computational and Mathematical Sciences,2008. [6] Shamim Akhter, VHDL Implementation of Fast NXN Based on Vedic Mathematics, Jaypee Institute of Information Technology University, Noida, 201307 UP, INDIA, 2007 IEEE. [7] Himanshu Thapliyal, Saurabh Kotiyal and M. B Srinivas, Design and Analysis of A Novel Parallel Square and Cube Architecture Based On Ancient Indian Vedic Mathematics, Centre for VLSI and Embedded System Technologies, International Institute of Information Technology, Hyderabad, 500019, India, 2005 IEEE. [8] Jagadguru Swami Sri Bharati Krishna Tirthji Maharaja, Vedic Mathematics, Motilal Banarsidas, Varanasi, India, 1986. [9] Himanshu Thapliyal and M.B Srinivas, VLSI Implementation of RSA Encryption System Using Ancient Indian Vedic Mathematics, Center for VLSI and Embedded System Technologies, International Institute of Information Technology Hyderabad- 500019, India. [10] Abhijeet Kumar, Dilip Kumar, Siddhi, Hardware Implementation of * bit and Square using Vedic Mathematics, Design Engineer, CDAC, Mohali. All rights reserved by www.ijsrd.com 181