1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Similar documents
MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

(Ar [ Si O Si O] m )n

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Market and technology trends in advanced packaging

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Characterization of a Thick Copper Pillar Bump Process

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications

Part 5-1: Lithography

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

MICROCHIP MANUFACTURING by S. Wolf

Major Fabrication Steps in MOS Process Flow

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Process Optimization

Microlens formation using heavily dyed photoresist in a single step

Photolithography I ( Part 1 )

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EE-527: MicroFabrication

MICRO AND NANOPROCESSING TECHNOLOGIES

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Optical Requirements

Managing Within Budget

Reducing Proximity Effects in Optical Lithography

Optical Microlithography XXVIII

Large Area Interposer Lithography

Immersion Lithography Micro-Objectives

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Optolith 2D Lithography Simulator

What s So Hard About Lithography?

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

i- Line Photoresist Development: Replacement Evaluation of OiR

16nm with 193nm Immersion Lithography and Double Exposure

DOE Project: Resist Characterization

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Characterization of e-beam induced resist slimming using etched feature measurements.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

OPC Rectification of Random Space Patterns in 193nm Lithography

Pellicle dimensions for high NA photomasks

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Advanced Packaging Solutions

Development of Nanoimprint Mold Using JBX-9300FS

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Update on 193nm immersion exposure tool

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Optical Design Forms for DUV&VUV Microlithographic Processes

Photolithography Technology and Application

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

DIY fabrication of microstructures by projection photolithography

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

PICO MASTER 200. UV direct laser writer for maskless lithography

A process for, and optical performance of, a low cost Wire Grid Polarizer

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

Mirror-based pattern generation for maskless lithography

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Innovative Mask Aligner Lithography for MEMS and Packaging

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

In-line focus monitoring and fast determination of best focus using scatterometry

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Contrast Enhancement Materials CEM 365HR

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Chapter 3 Fabrication

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Optimizing FinFET Structures with Design-based Metrology

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Synthesis of projection lithography for low k1 via interferometry

Contrast Enhancement Materials CEM 365iS

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

MicroSpot FOCUSING OBJECTIVES

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

1. INTRODUCTION ABSTRACT

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Feature-level Compensation & Control

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Transcription:

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi, Tsutomu Miyamoto Casio Computer Co., Ltd. Tokyo, Japan 1. ABSTRACT In the past, the majority of the lithography processing for bump applications has been performed with contact or proximity aligners. As 8-inch bump and wafer level chip scale packaging (CSP) becomes the driving factor in flip chip applications, packaging facilities are now demanding a more automated, lower defect, and higher reliability lithography tool for these processes and thus are utilizing front-end stepper technology This paper describes the performance of a unique new stepper, the Saturn Spectrum 3 Wafer Stepper, which employs a 1X 1nm broadband projection optical system developed in collaboration between Ultratech Stepper and Casio Computer Ltd. specifically for bump and wafer level CSP lithography applications. The optical characteristics of the unique g, h, and i-line projection exposure system will be discussed along with the overall technical system capabilities that provide solutions to the unique bump lithography challenges. Improvement of the image quality and overlay accuracy in comparison to the traditional lithography tool showing a more robust solution on bump and wafer level CSP applications are discussed. Results obtained by the manufacturing process at Casio Computer LTD on the first Ultratech Saturn Spectrum 3 Wafer Stepper are presented, along with critical dimension measurements and SEM photos of production pattern profiles and overlay data. 2. INTRODUCTION The advantages of broadband 1X projection optics for imaging thick resists in bump applications have been shown previously [1] [3] [4]. To quantify the overall processing advantages of implementing broadband 1X stepper technology in a bump manufacturing environment, a study was undertaken to generate data comparing the performance of a contact aligner currently used in a manufacturing bump fab to a new 1X bump application specific stepper for both critical dimension control and layer to layer overlay. The results obtained demonstrate the implementation of front-end stepper technology utilizing projection optics into the bump processing area can result in significant process control improvements compared to contact aligner technology historically used for bump lithography applications. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 1

3. BUMP SPECIFIC STEPPER CONFIGURATION A primary factor in choosing a stepper for bump and wafer level CSP lithography processes is the ability to print defect free images on a wafer thus eliminating the lithography tool as a yield detractor. This end result is achieved in many ways. A stepper allows non-contact imaging which eliminates mask wear and results in mask defect generation. Resist damage is also eliminated as there is no chance that the reticle will come into contact with the wafer. Furthermore, the reticle used by the stepper is protected by a pellicle that significantly reduces the chances that any particles that fall on the reticle will print on the wafer. A stepper also adds significantly improved process automation allowing one operator to control several steppers. However, adapting front-end stepper technology to bump processing has proven to be challenging due to a variety of reasons. These limitations include the narrow illumination bandwidth of most steppers (g-line or i-line exposure only), low wafer plane intensity, a requirement for stepper specific alignment targets, an inability to align and expose thick resist films, and the inability to easily handle multiple wafer sizes and thickness variations. The new broadband bump application specific stepper described here has been designed to address these issues and provide a versatile, cost effective tool for high yield bump bond lithography applications. This new tool provides all the yield and automation advantages of a stepper, with the additional technical capability of broadband exposures (35 to 45 nm), which allows the use any g-line or i-line resist process on the same lithography tool. 3.1 Broadband wavelength exposure capability (g, h, and i-line) The proprietary broadband 1X optical system (lens and illuminator) is based on existing 1X lens and illuminator technology The projection lens used in this broadband bump stepper is an evolution of the lens first developed by John Dyson in the 195's [5] [6] [7]. A primary mirror located in the pupil of the optical system is the primary imaging component of this simple five element lens. Since the achromatic primary mirror does most of the imaging, the spectral bandwidth of the lens has always been the greatest of all microlithographic wafer steppers. In this 2 mm feature resolving lens, the numerical aperture (NA) of the lens is reduced to allow the chromatic aberration correction to be extended in wavelength from 35 nm to 45 nm. This spectral band includes the g-line at 436 nm, the h-line at 45 nm and the i-line at 365 nm. The low NA of this optical system also results in greater usable depth of focus for the stepper. By design, this lens is free of coma and distortion and it is highly telecentric. Spherical aberration and field curvature are also negligible over fields of view as large as 25 mm by 5 mm. This lens has demonstrated excellent performance in imaging features of 2 mm and larger. In addition, with this optical system the projection image is very insensitive to environmental variations, such as barometric pressure, relative humidity, and temperature. Changes in lens distortion and lens magnification due to heating effects caused by high dose exposures (known to be typical phenomenon in reduction stepper lenses), are small in this 1X lens design. This unique version of the 1X Dyson lens design utilizes a high intensity illuminator with customized optics to allow for broadband (1 nm) transmission. This results in an intensity of over 175 mw/cm 2 at the wafer plane, more than three times the intensity available from any other stepper. The full 1 nm ghi-line illumination output of the lens is shown in Figure 1. The high wafer plane intensity is a key factor in the system's ability to provide Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 2

high throughput on thick photosensitive films with very high dose requirements typically found in bump processing [1] [4]. 3.2 Switchable Wavelength Illumination The capability to automatically switch between ghi-line, gh-line and i-line illumination with the use of specially designed high transmission bandbass filters has also been developed as an integral part of the exposure capability in this new 1X stepper lens and illuminator design. This capability allows exposure of any broadband, g-line or i- line photosensitive film while eliminating the need for manual filter changes. The typical illumination output for the 1X broadband projection lens with gh-line and i-line filters in place is shown in Figures 2 and 3. It is very typical that bump processing requires the use of both g-line and i-line photosensitive films. For instance, it is known that many major polyimide films and also BCB are sensitive in i-line, and conventional thick dry film can have sensitivity in g or i-line. This unique 1X stepper offers spectum sensitivity free photo processing by broadband illumination. 3.3 Process Requirements Photoresist plays a very critical role in the electroplating and evaporation process. It defines the height of the bump and controls its location relative to the bond pad. Bump heights or photoresist thicknesses typically range from 1 to 1 microns with image features ranging from 1 by 1 to 5 by 5 microns square. The challenge for bump formantion applications is to image these features in thick photoresist. Depending on the requirements, the resist aspect ratios can range from 1:1 to 4:1 or higher. Critical Dimension (CD) control and sidewall angle are key aspects of this process and can be significantly improved with the use of projection optics and a step and repeat exposure approach [2]. 3.4 Casio Process Casio Computer Co., Ltd. and OKI Electric Industry Co., Ltd. have developed a new style package, which minimizes the mounting area like bare chip but also provides high reliability like FPGA (Fine Pitch Grid Array) packages [9]. In this process, the wafer with a copper bump is encapsulated using a transfer molding or printing method. Then the encapsulated wafer is singulated into individual LSI chips. A cross section showing the basic film stack and layout of this new process is shown in Figure 4. The new 1X broadband stepper technology was chosen as the lithography tool for generating the copper bump features in this new CSP (Chip Scale Package) approach due to significantly improved CD, resist slope, and overlay performance. 4. EXPERIMENTAL METHOD AND RESULTS Due to the difficulties in generating significant layer to layer alignment data from production chip designs that lack the necessary automated metrology targets due to production chip real estate concerns, a special two-level test chip design was developed and used with the standard Casio bump process to generate alignment data. To accuately represent the actual production environment, the first masking level (reference level) was generated on a 5X reduction stepper and the reference pattern was etched into 6-inch silicon wafers. The test chip die size Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 3

was 22 mm by 22.2 mm, and the wafer array layout used is shown in Figure 5. The grayed chip sites represent the five wafer locations measured to obtain the overlay data for both the contact aligner and the stepper. A total of eight wafers were patterned and etched with the reference level for this test. The second level was then processed as a split lot with four wafers each imaged on a contact aligner and 1X stepper. The contact aligner tested in this paper is the exposure tool currently used for bump lithography volume production, and is compared to the performance of the new 1X broadband stepper. This methodology allows us to compare the production capability of the two tools in a mix-and-match environment, which represents the production situation where bumped wafers are processed through metalization on reduction steppers, and then the bump lithography step is later performed with either a contact aligner or 1X projection stepper. Both CD and layer to layer overlay performance was measured for the two lithography systems and the results compared as they relate to bump processing requirements. 4.1 Overlay Performance Specially designed "Box-in-Box" metrology structures were used on the test chip design to allow automated layer to layer overlay measurements. A KLA Instruments Model 57 was used to obtain the overlay data from both the contact aligner and stepper overlay test lots. The standard production alignment mode was used for the contact aligner, and the automatic MVS (Machine Vision System) EGA alignment mode was used on the 1X stepper. The 1X stepper MVS alignment system employs an image recognition computer that allows the operator to train any unique feature on the wafer to be used as an alignment target [8]. This allows extra flexibility in that a specially designed alignment target is not required for the 1X stepper. The actual target used for alignment on the 1X stepper is shown in Figure 6. The longer legged cross made up of "zig-zag" shaped features was trained as the wafer alignment target, and the smaller straight cross is the corresponding reticle target feature. The reticle target is aligned to the wafer target at 3 to 5 alignment locations and the resulting data points are analyzed to automatically remove any correctable grid or scaling errors. The resulting wafer "map" is then used to blind step the second level features onto the etched first level pattern. A five-site Enhanced Global Alignment (EGA) map was generated for the data set obtained in this test. 4.2 Alignment mark used for MVS alignment on 1X stepper Overlay results for the two lithography tools are shown in Figures 7 through 1. The overlay test resulted in 3σ total overlay of less than.6 µm for the 1X stepper compared to as large as 2. µm 3σ overlay for the contact aligner. This data clearly shows the improvement in alignment that can be obtained by use of an automated EGA, step-and-repeat method for bump processing. It should also be noted that additional overlay improvement could be easily obtained for the stepper if necessary by applying higher order stage grid corrections to match the 1X stepper stage to the stage signature of the reduction stepper used for generating the reference level. 4.3 Critical Dimension Control Performance of Resist Line A KLA 51 metrology tool was utilized for obtaining CD measurements after develop for 1 µm lines in 1 µm thick spin coated PMER P-LA9PM resist. A total of 233 lines were measured on three wafers for the 1X stepper, and a total of 153 lines were measured on two wafers for the contact aligner. Measurements were made for top CD, bottom CD, and resist height. Resist sidewall slope were then calculated from these measurements by the following formula: Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 4

(1) Slope = Arctan [(2 x L1)/(L3-L2)/(π/18)] Where: L1 = Resist line height L2 = Resist line top CD L3 = Resist line bottom CD Histograms of measured bottom line CD and calculated resist slope for both the contact aligner and 1X stepper are shown in Figures 26, 27, 28, and 29. It can be seen from these histograms that the CD uniformity of the resist CD is significantly improved with use of the 1X stepper. Only bottom CD measurements are shown for comparason, since the top of the resist for the contact aligner was somewhat rounded, and the CD measurement repeatibility for the top CD for the contact aligner was not as good for the stepper. More importantly resist sidewall angle, as calculated by the above formula from resist line top, bottom and height measurements, shows both better (greater) average sidewall angle and tighter sidewall angle distribution for the 1X stepper. For the resist sidewall angles, the average wall angle for the contact aligner was determined to be 73.9 degrees, while the average wall angle using the same resist and process was 78.8 degrees for the 1X stepper, representing a six percent improvement in average resist sidewall angle. 4.4 Critical Dimension Control Performance after Copper Plating Critical dimension measurements were taken by SEM for three different lithography steps in the bump process. Two processes steps involved imaging 1 µm and 15 µm lines in 1 µm thick spin coated PMER P-LA9PM resist films, while the third step tested required imaging 15 µm posts in 12 µm thick P812 dry film. CD unifomity was calculated by using the following formula: (2) Uniformity = ( Max CD - Min CD)/ (Max CD + Min CD) x 1 The data in Figures 11, 12 and 13 show a comparison between the contact aligner and the new 1X stepper for CD uniformity achieved at each process step after copper plating and Figures 14 through 23 show SEM photos of the corresponding CD structures measured for both tools. For a contact aligner, the optimum exposure condition for the mask to wafer working gap setting is often a difficult compromise between near contact for best image quality and a large gap space for eliminating mask and resist damage due to mask to wafer contact. Due to this issue, the standard production gap setting was the only focus setting tested for the contact aligner. For the stepper, three focus conditions were tested for each CD to determine CD uniformity and imaging quality over a large focus range. CD measurement results are summarized in Tables 1 and 2. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 5

Resist Thickness Focus Offset Critical Dimension CD Uniformity 1 µm N/A 1 µm 2.67 µm 1 µm N/A 15 µm 2.29 µm 12 µm N/A 15 µm.64 µm Table 1 Contact Aligner CD Uniformity by SEM Measurement Resist Thickness Focus Offset Critical Dimension CD Uniformity 1 µm - 2 µm 1 µm 1.13 µm 1 µm - 3 µm 1 µm 1.13 µm 1 µm - 4 µm 1 µm 1.15 µm 1 µm - 2 µm 15 µm.39 µm 1 µm - 3 µm 15 µm 1.21 µm 1 µm - 4 µm 15 µm.8 µm 12 µm - 7 µm 15 µm.67 µm 12 µm - 8 µm 15 µm.67 µm 12 µm - 9 µm 15 µm.45 µm Table 2 Stepper CD Uniformity by SEM Measurement The data for SEM measurements after copper plating shows a significant CD uniformity improvement for the 1 µm and 15 µm features, and similar CD uniformity for the 15 µm features imaged in the 12 µm thick dry film for the stepper compared to the contact printer. 5. SUMMARY The results shown here demonstrate that significant improvement in critical dimension control and overlay can be obtained with the introduction of front-end lithography equipment into bump manufacturing fabs. The primary issues that need to be addressed to make a front-end lithography tool a practical manufacturing solution for bump fabs are in the requirements to process both thick and thin resists with broadband exposure capability, coupled with the flexibility to auto align bump wafers without the requirement of specific alignment targets. The application specific 1X bump lithography tool tested here provides the improved technical capabilities of a stepper, and also meets the resist processing and alignment flexibility requirements of the bump manufacturing environment. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 6

6. REFERENCES [1] W. Flack, W. Fan, S. White, "The Optimization and Characterization of Ultra-Thick Photoresist Films", Advances in Resist Technology and Processing XV Proceedings, SPIE 3333, 1288-133(1998). [2] Flack, W. Fan, S. White, "Characterization of Ultra-thick Photoresists for MEMS Applications Using a 1x Stepper", Materials and Device Characterization in Micromachining Proceedings, SPIE 3512, 296-315 (1998). [3] Flack, S. White, B. Todd, "Process Characterization of One Hundred Micron Thick Photoresist Films", Advances in Resist Technology and Processing XVI Proceedings, SPIE 3378, 474-49(1999). [4] B. Todd, W. Flack, S. White, "Thick Photoresist Imaging Using A Three Wavelength Exposure Stepper", Micromachining and Microfabrication Process Technology Proceedings, SPIE 3874, (1999). [5] H. Jeong, D. A. Markle, G. Owen, R.F.W. Pease, A. Grenville, "Optical Projection System for Gigabit Dynamic Random Access Memories", American Vacuum Society, J. Vac. Sci. Technology, Nov/Dec 1993. [6] R. Hershel, "Optics in the Model 9 Projection Stepper", Semiconductor Microlithography V Proceedings, SPIE, Vol. 221, 39-43 (198). [7] R. Hershel, "Characterization of the Ultratech Wafer Stepper", Optical Lithography Proceedings, SPIE 334 (1982). [8] W. Flack, G. Flores, T. Tran, "Application of Pattern Recognition in Mix-and-Match Lithography", Optical/ Laser Microlithography VIII Proceedings, SPIE 244, 913-927 (1995). [9] I. Mihara, O. Kuwabara, T. Miyamoto, S. Kotani, T. Wakabayashi, H. Kobayashi, N. Murakima, H. Kikuchi, S. Ohuchi, T. Ohsumi, Y. Kohara, "Development of the Real Chip Size Package Using the Wafer Level Assembly Process", Fourth Annual Pan Pacific Microelectronics Symposium Proceedings, 21-25, (1999). Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 7

Relative Waferplane Irradiance 1 9 8 7 6 5 4 3 2 1 1X BUMP STEPPER (ghi) OPTICAL SYSTEM Standard ghi-line Irradiance 2 226 252 278 34 33 356 382 48 434 46 486 512 538 564 59 Wavelength in nm Figure 1 Full ghi-line Irradiance Relative Waferplane Irradiance 1 9 8 7 6 5 4 3 2 1 1X BUMP STEPPER (ghi) OPTICAL SYSTEM Irradiance with gh-line filter in Place 2 23 26 29 32 35 38 41 44 47 5 53 56 59 Wavelength in nm Figure 2 i-line Stepper Output with gh-filter Relative Waferplane Irradiance 1 9 8 7 6 5 4 3 2 1 1X BUMP STEPPER (ghi) OPTICAL SYSTEM Irradiance with i-line filter in Place 2 23 26 29 32 35 38 41 44 47 5 53 56 59 Wavelength in nm Figure 3 gh-line Stepper Output with I-line Filter Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 8

Figure 4 Cross Section of Bump Process Figure 5 Wafer Map for Overlay Measurements Figure 6 Alignment mark used for MVS alignment on 1X stepper Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 9

Frequency 4 35 3 25 2 15 1 5 3σ =.551 551µm -3-2.4-1.8-1.2 -.6.6 1.2 1.8 2.4 3 Measured Overlay in microns (5 points per die) Figure 7 1X Stepper "X" Overlay on Bump Process Frequency 4 35 3 25 2 15 1 5 3σ =.581µm -3-2.4-1.8-1.2 -.6.6 1.2 1.8 2.4 3 Measured Overlay in microns (5 points per die) Figure 8 1X Stepper "Y" Overlay on Bump Process Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 1

Frequency 4 35 3 25 2 15 1 5-3 -2.4-1.8-1.2 -.6.6 1.2 1.8 2.4 3σ = 1.99µm Measured Overlay in microns (5 points per die) Figure 9 Contact Aligner "X" Overlay on Bump Process 3 Frequency 35 3 25 2 15 1 5 3σ = 1.26µm -3-2.4-1.8-1.2 -.6.6 1.2 1.8 2.4 Measured Overlay in microns (5 points per die) Figure 1 Contact Aligner "Y" Overlay on Bump Process 3 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 11

Positive Resist (1µm L/S) 1X Broadband Stepper Focus Offset Wafer CD CD Uniformity 11.64-2µm 11.772 11.58 11.64 1.13 11.772 11.58-3µm 11.64 11.58 11.772 1.13 11.64 11.58-4µm 11.58 11.376 11.58 1.15 11.64 Contact 12.37 12.698 12.32 12.37 2.67 12.698 Positive Resist (15µm L/S) 1X Broadband Stepper Focus Offset Wafer CD CD Uniformity 16.799-2µm 16.931 16.799 16.799.39 16.931 16.42 µ 16.667 16.27 16.667 1.21 16.667 16.534-4µm 16.667 16.42 16.42.8 16.534 Contact 17.63 17.725 16.931 16.931 2.29 17.725 Figure 11 Stepper and Contact Printer CD Uniformity in 1µm PMER P-LA9PM Resist After Copper Plating (1µm L/S) Figure 12 Stepper and Contact Printer CD Uniformity in 1µm PMER P-LA9PM Resist After Copper Plating (15µm L/S) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 12

Thick Dryfilm 1X Broadband Stepper (Spectrum 3) Focus Offset Wafer CD CD Uniformity 147.48-7µm 146.82 146.82 146.16.67 148.14 148.14-8µm 147.48 146.16 147.48.67 147.48 149.47-9µm 148.14 148.14 148.14.45 148.81 Contact 156.74 154.76 154.76 156.74.64 156.74 Figure 13 Stepper and Contact Printer CD Uniformity in 12 µm Dry Film P812 Resist After Copper Plating (15 µm Post) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 13

12 1 8 6 4 2 9 9.1 9.2 Frequency 9.3 9.4 9.5 9.6 9.7 9.8 9.9 1 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 1.9 11 Measured Resist CD Uniformity in microns Figure 26 1X Stepper CD Uniformity for 1 µm Line in 1 µm Resist Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 14

14 12 1 Frequency 8 6 4 2 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 Measured Resist Slope in degrees Figure 27 1X Stepper Resost Slope for 1 µm Line in 1 µm Resist Frequency 4 35 3 25 2 15 1 5 9 9.1 9.2 9.3 9.4 9.5 9.6 9.7 9.8 9.9 1 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 1.9 11 Measured Resist CD Uniformity in microns Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 15

Stepper: Contact Aligner Resist: PMER P-LA9PM Exposure: 4 mj Focus: Soft Contact Pattern: Copper 2µm Pitch 5 45 4 35 Figure 28 Aligner CD Uniformity for 1 µm Line in 1 µm Resist Frequency 3 25 2 15 1 5 12.37 12.698 12.32 12.37 12.698 65 67 69 71 73 75Figure 77 1479 81 83 85 87 89 91 93 95 Contact Aligner Measured (1µm Resist L/S, Soft Slope Contact) in degrees Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -2 µm Pattern: Copper 2µm Pitch Figure 29 Aligner Resist Slope for 1 µm Line in 1 µm Resist 11.64 11.772 11.58 11.64 11.772 Figure 15 1X Stepper (1µm L/S, -2µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 16

Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -3 µm Pattern: Copper 2µm Pitch Figure 16 1X Stepper (1µm L/S, -3µm Focus Offset) 11.58 11.64 11.58 11.772 11.64 Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -4 µm Pattern: Copper 2µm Pitch Figure 17 1X Stepper (1µm L/S, -4µm Focus Offset) 11.58 11.58 11.376 11.58 11.64 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 17

Stepper: Contact Aligner Resist: PMER P-LA9PM Exposure: 4 mj Focus: Soft Contact Pattern: Copper 3µm Pitch 17.63 17.725 16.931 16.931 17.725 Figure 18 Contact Aligner (15µm L/S, Soft Contact) Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -2 µm Pattern: Copper 3µm Pitch Figure 19 1X Stepper (15µm L/S, -2µm Focus Offset) 16.799 16.931 16.799 16.799 16.931 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 18

Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -3 µm Pattern: Copper 3µm Pitch Figure 2 1X Stepper (15µm L/S, -3µm Focus Offset) 16.42 16.667 16.27 16.667 16.667 Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -4 µm Pattern: Copper 3µm Pitch Figure 21 1X Stepper (15µm L/S, -4µm Focus Offset) 16.534 16.667 16.42 16.42 16.534 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 19

Stepper: Contact Aligner Resist: Dryfilm P812 12µm Exposure: 2 mj Focus: Soft Contact Pattern: Copper 15µm Square Figure 22 Contact Aligner (15µm L/S, Soft Contact) 156.74 154.76 154.76 156.74 156.74 Stepper: Spectrum III Resist: Dryfilm P812 12µm Exposure: 7 mj Focus: -7µm Pattern: Copper 15µm Square Figure 23 1X Stepper (15µm L/S, -7µm Focus Offset) 147.48 146.82 146.82 146.16 148.14 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 2

Stepper: Spectrum III Resist: Dryfilm P812 12 µm Exposure: 7 mj Focus: -8 µm Pattern: Copper 15µm Square Figure 24 1X Stepper (15µm L/S, -8µm Focus Offset) 148.14 147.48 146.16 147.48 147.48 Stepper: Spectrum III Resist: Dryfilm P812 12µm Exposure: 7 mj Focus: -9 µm Pattern: Copper 15µm Square Figure 25 1X Stepper (15µm L/S, -9µm Focus Offset) 149.47 148.14 148.14 148.14 148.81 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 21

Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 22

Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 23