Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Similar documents
Optimized BPSK and QAM Techniques for OFDM Systems

VLSI Implementation of Digital Down Converter (DDC)

Study of Performance Evaluation of Quasi Orthogonal Space Time Block Code MIMO-OFDM System in Rician Channel for Different Modulation Schemes

SPARSE CHANNEL ESTIMATION BY PILOT ALLOCATION IN MIMO-OFDM SYSTEMS

Performance Evaluation of STBC-OFDM System for Wireless Communication

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

An Area Efficient FFT Implementation for OFDM

An area optimized FIR Digital filter using DA Algorithm based on FPGA

Improvement of the Throughput-SNR Tradeoff using a 4G Adaptive MCM system

High Performance Fbmc/Oqam System for Next Generation Multicarrier Wireless Communication

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM

Study of Turbo Coded OFDM over Fading Channel

A Sphere Decoding Algorithm for MIMO

Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER

Space Time Block Coding - Spatial Modulation for Multiple-Input Multiple-Output OFDM with Index Modulation System

Peak-to-Average Power Ratio (PAPR)

Volume 2, Issue 9, September 2014 International Journal of Advance Research in Computer Science and Management Studies

Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement

PAPR REDUCTION TECHNIQUE USING MODIFIED SLM IN OFDM SYSTEM

IJMIE Volume 2, Issue 4 ISSN:

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

A Survey on Power Reduction Techniques in FIR Filter

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

Simulation Study and Performance Comparison of OFDM System with QPSK and BPSK

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

ORTHOGONAL frequency division multiplexing

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Area Efficient Fft/Ifft Processor for Wireless Communication

Improving Channel Estimation in OFDM System Using Time Domain Channel Estimation for Time Correlated Rayleigh Fading Channel Model

ISI Reduction in MIMO-OFDM with Insufficient Cyclic Prefix- A Survey

Figure 1: Basic OFDM Model. 2013, IJARCSSE All Rights Reserved Page 1035

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

SCFDMA PERFORMANCE ANALYSIS FOR PAPR REDUCTION WITH DIFFERENT SUBCARRIER MAPPING USING SLM TECHNIQUE AND MODIFIED SLM TECHNIQUE

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations

Iterative Detection and Decoding with PIC Algorithm for MIMO-OFDM Systems

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR

OFDM system: Discrete model Spectral efficiency Characteristics. OFDM based multiple access schemes. OFDM sensitivity to synchronization errors

VLSI Implementation of Pipelined Fast Fourier Transform

Performance Evaluation of OFDM System with Rayleigh, Rician and AWGN Channels

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Multiple-Input Multiple-Output OFDM with Index Modulation Using Frequency Offset

PAPR Reduction in 4G Cellular Network: A SLM-based IFDMA Uplink System

BER Analysis for MC-CDMA

Performance Analysis of OFDM for Different Digital Modulation Schemes using Matlab Simulation

Implementation and Comparative analysis of Orthogonal Frequency Division Multiplexing (OFDM) Signaling Rashmi Choudhary

Hybrid Index Modeling Model for Memo System with Ml Sub Detector

Lecture 13. Introduction to OFDM

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India

Multi-Carrier Systems

Techniques for Mitigating the Effect of Carrier Frequency Offset in OFDM

Improving Diversity Using Linear and Non-Linear Signal Detection techniques

Advanced 3G & 4G Wireless Communication Prof. Aditya K. Jaganathan Department of Electrical Engineering Indian Institute of Technology, Kanpur

OFDM and FFT. Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010

Chapter 5 OFDM. Office Hours: BKD Tuesday 14:00-16:00 Thursday 9:30-11:30

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications

Performance analysis of MISO-OFDM & MIMO-OFDM Systems

Design of an optimized multiplier based on approximation logic

Performance Evaluation of Wireless Communication System Employing DWT-OFDM using Simulink Model

2.

Single Carrier Ofdm Immune to Intercarrier Interference

Performance Improvement of IEEE a Receivers Using DFT based Channel Estimator with LS Channel Estimator

Dynamic Subchannel and Bit Allocation in Multiuser OFDM with a Priority User

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM

Anju 1, Amit Ahlawat 2

Interleaved PC-OFDM to reduce the peak-to-average power ratio

A RobustJitter Noise Power Reduction in Ultra-Speed Optical OFDM Systems

FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform

Comparison of MIMO OFDM System with BPSK and QPSK Modulation

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

Nonlinear Companding Transform Algorithm for Suppression of PAPR in OFDM Systems

Analysis of Interference & BER with Simulation Concept for MC-CDMA

Performance Evaluation of IEEE STD d Transceiver

Practical issue: Group definition. TSTE17 System Design, CDIO. Quadrature Amplitude Modulation (QAM) Components of a digital communication system

An Analytical Design: Performance Comparison of MMSE and ZF Detector

Area Efficient and Low Power Reconfiurable Fir Filter

International Journal of Advanced Research in Computer Science and Software Engineering

Performance Improvement of OFDM System using Raised Cosine Windowing with Variable FFT Sizes

Tirupur, Tamilnadu, India 1 2

Cognitive Radio Transmission Based on Chip-level Space Time Block Coded MC-DS-CDMA over Fast-Fading Channel

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

Comparison of ML and SC for ICI reduction in OFDM system

Key words: OFDM, FDM, BPSK, QPSK.

Estimation of I/Q Imbalance in MIMO OFDM

Performance Comparison of Channel Estimation Technique using Power Delay Profile for MIMO OFDM

BER Comparison of DCT-based OFDM and FFT-based OFDM using BPSK Modulation over AWGN and Multipath Rayleigh Fading Channel

High Speed Speculative Multiplier Using 3 Step Speculative Carry Save Reduction Tree

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

Performance Study of MIMO-OFDM System in Rayleigh Fading Channel with QO-STB Coding Technique

A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

Design of Adjustable Reconfigurable Wireless Single Core

BER ANALYSIS OF BPSK, QPSK & QAM BASED OFDM SYSTEM USING SIMULINK

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Publication of Little Lion Scientific R&D, Islamabad PAKISTAN

Orthogonal Frequency Division Multiplexing & Measurement of its Performance

Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm

Transcription:

Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to Wireless Systems P. Sivi Varghese * Department of Electronics Sree Narayana Gurukulam College of Engineering Kerala, India Abstract Wireless systems have seen a large number of researches going on over the past decades. There is an increasing need for bandwidth savings. This paper addresses the main concepts of the Spectrally efficient FDM (SEFDM) systems. SEFDM systems offer better bandwidth savings when compared to the Orthogonal Frequency Finite Division Multiplexing (OFDM) systems while utilizing non-orthogonal overlapped carriers. The study mainly concentrates on the SEFDM transmitters that is considered to be one of the key research challenges. The digital baseband architecture is then presented using modulation algorithm that uses the Discrete Fourier Transform (DFT) implemented efficiently using the Fast Fourier Transform (FFT): the multi-stream architecture, to reduce the circuit area. A modification to the above architecture is performed using the Co-Ordinate Rotation Digital Computer (CORDIC) algorithm that makes the architecture further area efficient. The SEFDM transmitters were synthesized and verified in FPGA. Analysis on circuit area has been performed to ensure the practicality of the SEFDM transmitters. Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. I. INTRODUCTION Over the past few decades wireless communications have seen tremendous growth which is likely to continue in the future too. This huge demand has led to many advancements in the field to improve high data rate transmission at low cost to as many as users with high reliability, large bandwidth, and with great flexibility for varying traffic condition. In order to meet these requirements within limited spectrum various multi carrier modulation techniques were employed. The most widely adopted multi carrier technique that is presently the main core of wireless application is the Orthogonal Frequency Division Multiplexing (OFDM). The technique employs orthogonal overlapped sub-carriers. OFDM provides the highest possible spectral efficiency for an orthogonal system. But the ever growing demand for spectral savings has led to the growth of the Spectrally Efficient FDM Systems (SEFDM) [1]. SEFDM is a Multi Carrier Modulation (MCM) Technique that utilizes non orthogonal overlapped sub- carriers. Spectral savings is offered by this technique by placing the carriers close to each other and transmitting them at a rate faster than the Nyquist rate [2]. Loss of orthogonality makes the detection of the signal an overly complex problem. Maximum Likelihood (ML) SEFDM detector has demonstrated attractive BER performance. However, ML detection complexity increases exponentially with the increase in the system size. In addition, linear detection techniques such as Zero Forcing (ZF) and Minimum Mean Squared Error (MMSE) perform well only for small sized systems in high Signal to Noise Ratio (SNR) conditions. But they limit the size of the SEFDM system. Finally, Sphere Decoders (SD) is shown to achieve optimum performance at a much reduced but random complexity whose volatility depends on the noise and the system coefficient matrix properties. When we move on to SEFDM systems from basic OFDM systems the main issue is the increasing complexity that arises due to increase in area and power consumption. Both these issues are dealt in this paper while adopting the Fixed Sphere Decoders (FSD) techniques [3]-[4] and the CORDIC algorithm [5]-[6]. The paper is organized as follows. Section II describes spectrally efficient FDM system. Section III describes SEFDM transmitter architecture. Section IV gives the proposed modified SEFDM architecture. Section V and VI gives the results and the conclusion. II. SEFDM SYSTEM The SEFDM signal of consists of a stream of SEFDM symbols each carrying a block of N complex input symbols, denoted by s = s R + js IM, transmitted within T seconds. Each of the N complex input symbols modulates one of the nonorthogonal and overlapping subcarriers, hence, giving the SEFDM signal x (t) as (1) Where α denotes the bandwidth compression factor defined as α = ft, α< 1 (2) For f denoting the frequency distance between the subcarriers, T is the SEFDM symbol duration, N is number of subcarriers and s l,n denotes the symbol m odulated on the nth subcarrier in the l th SEFDM symbol. 2014, IJARCSSE All Rights Reserved Page 800

A discrete model of the SEFDM system can be obtained by sampling the SEFDM frame with index zero from (2) at a rate N/T, where N M, giving: (3) Where X [k] is the kth time sample of the SEFDM symbol in equation (1), k = 0,1,,Q 1, Q = ρn, ρ is an oversampling factor and the factor 1/ Q is a normalization constant. The SEFDM signal can be realized with a single IDFT block, with a length longer than N. The SEFDM transmitter in this case is depicted in Fig. 1. Furthermore, it is shown in that by expressing the term as a rational number, that is by taking α=b/c, where both b and c are integers and b<c, the SEFDM signal can be expressed as (4) As for the case above, here we define S as (5) Fig. 1 SEFDM conceptual block diagram. The samples of the SEFDM signal can be generated using c IDFT operations each of length of N points. The input symbols are padded with (c-1) N zeros and then arranged as a c N matrix in column major order. An IDFT operation is then performed on each row. The signal is finally composed by combining rotated versions of the IDFT outputs as depicted in Fig. 2. Fig. 2 SEFDM IDFT Based Transmitter III. SEFDM TRANSMITTER ARCHITECTURE The generation of the SEFDM signal is realized by modulating the incoming input stream through a bank of modulators running at the different subcarriers frequencies. With the increase in the number of subcarriers it becomes exceptionally complex to realize this bank of modulators. In addition, the system will be susceptible to higher frequency offsets and timing errors, as the number of oscillators increases. Thus we generate SEFDM signals using the Fourier Transform.. The proposed framework eliminates the need for a bank of analogue modulators to generate the SEFDM signal, therefore allowing for the digital implementation of the SEFDM transmitter. Fig.3 Multistream SEFDM transmitter architecture 2014, IJARCSSE All Rights Reserved Page 801

A. Zero Insertion and Reorder: Fig. 4 illustrates the general symbol reordering operation, which consists of padding the input symbols with (c-1) N zeros before arranging them as a c X N matrix in column major order. A naive implementation of this operation implies a buffer of complex words to hold the sparse complex matrix. The multi-stream IFFT accepts alternately interleaved samples from a number of input streams on successive cycles, such that transformed output samples appear at the output, as opposed to transforming the whole symbol at once. With a multi-stream IFFT, the symbol reorder block is only required to generate a single complex sample per cycle and therefore reduces to a single multiplexer, presuming the modulated sub- carrier symbols are suitably arranged in a preceding buffer. Fig. 4 General operation of symbol reordering B. Multi-stream IFFTs: The -point IDFTs are implemented in this section as cn-point IFFTs, which can be implemented as N multi-stream IFFT blocks. Multi-stream offers reduced circuit area and power consumption. The 64-point, 16-bit complex IFFT blocks-based on the radix- flow graph is used. The IFFTs have an enable signal which when de-asserted gates the internal clock and clears the output registers to zero. The multi-stream IFFT accepts alternately interleaved samples from a number of input streams on successive cycles, such that transformed output samples appear at the output, as opposed to transforming the whole symbol at once. C. Post-processing: The post processing operation combines the multi-stream IFFT outputs after multiplication with a complex exponential in order to produce the discrete-time output sample. The complexity of the post processing is a linear function of c, where we require (c-1) complex multiply accumulate (CMAC) operations. The hardware required includes the CMACs and LUTs to store pre-calculated rotation coefficients in read-only memory (ROM). IV. MODIFIED SEFDM ARCHITECTURE For low throughput systems, it is also possible to replace the CMAC with the CORDIC algorithm to reduce further circuit area and power dissipation. The proposed circuit modification is as shown in Fig 5. Fig.5 Modified Multi stream SEFDM transmitter architecture concept As per methodology, the outputs of the IFFT blocks should be phase rotated with some values and their outputs are added up to produce our final desired SEFDM signal. In the above proposed system, the outputs of the IFFT blocks are phased rotated using the predetermined values that are stored in the RAM. The outputs of the vectoring blocks are added up to produce the SEFDM signals. The disadvantages of this system are that RAM occupies more space and the vectoring can t be done with different values to obtain different types of output SEFDM signals. Also, all the values in RAM should be changed every time with new values to produce different values of vectoring and on the output. In the modified system, which is illustrated in the Fig 6 the RAM has been replaced by the CORDIC algorithm implementation block. 2014, IJARCSSE All Rights Reserved Page 802

Fig.6 Block diagram of the proposed flexible CORDIC SEFDM transmitter This will helps us to produce different values for vectoring very easily just by changing the angle of rotation. This further implies that different values for vectoring can produce different phase rotated SEFDM signals. We need not have to change the values quiet often as incase of the existing system. The advantage of using CORDIC algorithm is that just by changing the value of rotating angles different values of real and imaginary values are generated that can be given as the input of multipliers that perform the vectoring of IFFT outputs. Finally, these signals are added up then to produce the final output of SEFDM signal. V. RESULT The proposed architectures was described with hardware description language VHDL as fixed-point arithmetic and synthesized with XST tool in Xilinx ISE FPGA chip and simulated using ISE Design Suite 14.2. Fig.7 shows the simulation results for the SEFDM architecture and Fig.8 shows the simulation results for the proposed SEFDM architecture. The synthesis tool has allocated the following resources as shown in Fig.9 and Fig.10. Fig.7 Simulation window of Multi stream SEFDM transmitter system Fig.8 Simulation window of Modified Multi stream SEFDM transmitter system Fig.9 Device utilization summary of Multi stream SEFDM transmitter 2014, IJARCSSE All Rights Reserved Page 803

Fig.10 Device utilization summary of Modified Multi stream SEFDM transmitter VI. CONCLUSIONS This dissertation work has been carried out to implement SEFDM transmitter on FPGA. The SEFDM transmitter was implemented and tested on Spartan 3-XC3S400 board and its simulation results are discussed. The project focuses on algorithm which employs multiple IFFTs, for reasons of low complexity and general suitability to hardware implementation and applies the concept of multi-stream IFFT to realize the multiple transforms at minimal circuit area overhead. Then by proposing the CORDIC algorithm to replace the CMAC unit further reduction in circuit area was obtained. REFERENCES [1] VLSI Architecture for a Reconfigurable Spectrally Efficient FDM Baseband Transmitter Paul N. Whatmough, Member, IEEE, Marcus R. Perrett, Member, IEEE, Safa Isam, Student Member, IEEE, and Izzat Darwazeh, Senior Member; Ieee Transactions on circuits and systems I: regular papers, vol. 59, no. 5, may 2012 [2] J. Mazo, Faster than Nyquist signalling, Bell Syst. Tech. J., vol. 54, pp. 429 458, Oct. 1975. [3] S. Isam, I. Kanaras, and I. Darwazeh, A truncated SVD approach for fixed complexity spectrally efficient FDM receivers, in Proc. IEEE Wireless Commun. Netwo. Conf., 2011, pp. 1584 1589. [4] S. Isam and I. Darwazeh, Design and performance assessment of fixed complexity spectrally efficient FDM receivers, in Proc. IEEE 73 rd Veh. Technol. Conf., 2011, pp. 1 5. [5] CORDIC Designs for Fixed Angle of Rotation Pramod Kumar Meher, Senior Member, IEEE, and Sang Yoon Park, Member, Ieee Transactions on very large scale integration (vlsi) systems, VOL. 21, NO. 2, FEBRUARY 2013 [6] FPGA Prototyping of Hardware Implementation of CORDIC Algorithm Er. Manoj Arora, Er. R S Chauhan, Er.Lalit Bagga;International Journal of Scientific & Engineering Research, Volume 3, Issue 1, January-2012 2014, IJARCSSE All Rights Reserved Page 804