Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Similar documents
Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Adiabatic Logic Circuits for Low Power, High Speed Applications

Low Power Adiabatic Logic Design

Design and Analysis of Multiplexer in Different Low Power Techniques

Performance Analysis of Different Adiabatic Logic Families

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

International Journal Of Global Innovations -Vol.5, Issue.I Paper Id: SP-V5-I1-P04 ISSN Online:

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

Adiabatic Logic Circuits: A Retrospect

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Static Energy Recovery Adiabatic Logic

A Comparative Study of Power Dissipation of Sequential Circuits for 2N-2N2P, ECRL and PFAL Adiabatic Logic Families

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Design and Analysis of Multiplexer using ADIABATIC Logic

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

Comparison of adiabatic and Conventional CMOS

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Energy Efficient Design of Logic Circuits Using Adiabatic Process

Comparative Analysis of Adiabatic Logic Techniques

Design of Energy Efficient Arithmetic Circuits Using Charge Recovery Adiabatic Logic

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Cascadable adiabatic logic circuits for low-power applications N.S.S. Reddy 1 M. Satyam 2 K.L. Kishore 3

SEMI ADIABATIC ECRL AND PFAL FULL ADDER

Design of Energy Efficient Logic Using Adiabatic Technique

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

Design and Analysis of f2g Gate using Adiabatic Technique

DESIGN & ANALYSIS OF A CHARGE RE-CYCLE BASED NOVEL LPHS ADIABATIC LOGIC CIRCUITS FOR LOW POWER APPLICATIONS

POWER EVALUATION OF ADIABATIC LOGIC CIRCUITS IN 45NM TECHNOLOGY

A design of 16-bit adiabatic Microprocessor core

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

DESIGN AND IMPLEMENTATION OF EFFICIENT LOW POWER POSITIVE FEEDBACK ADIABATIC LOGIC

International Journal of Engineering Trends and Technology (IJETT) Volume 45 Number 5 - March 2017

!"#$%&'()*(+*&,"*")"-./* %()0$12&'()*')*3#'343&'%*.3&"0*4/* (2&'135*&-3)0'0&(-*0'6').!

Comparative Analysis of Conventional CMOS and Adiabatic Logic Gates

Low-Power 4 4-Bit Array Two-Phase Clocked Adiabatic Static CMOS Logic Multiplier

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Design and Analysis of CMOS and Adiabatic logic using 1:16 Multiplexer and 16:1 Demultiplexer

Design of Low Power Carry Look-Ahead Adder Using Single Phase Clocked Quasi-Static Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Investigation on Performance of high speed CMOS Full adder Circuits

PERFORMANCE ANALYSIS OF ADIABATIC TECHNIQUES USING FULL ADDER FOR EFFICIENT POWER DISSIPATION

Design and Comparison of power consumption of Multiplier using adiabatic logic and Conventional CMOS logic

Design Analysis of 1-bit Comparator using 45nm Technology

A Three-Port Adiabatic Register File Suitable for Embedded Applications

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Design and Analysis of CMOS Cell Structures using Adiabatic Logic

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Implementation of Low Power Inverter using Adiabatic Logic

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

AN EFFICIENT ADIABATIC FULL ADDER DESIGN APPROACH FOR LOW POWER

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

True Single-Phase Adiabatic Circuitry

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

IJMIE Volume 2, Issue 3 ISSN:

Low Power &High Speed Domino XOR Cell

Design of Multiplier using Low Power CMOS Technology

The Circuits Design using Dual-Rail Clocked Energy Efficient Adiabatic Logic

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Power-Area trade-off for Different CMOS Design Technologies

Performance Evaluation of Digital CMOS Circuits Using Complementary Pass Transistor Network

Secure Adiabatic Logic: a Low-Energy DPA-Resistant Logic Style

DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE

Contents 1 Introduction 2 MOS Fabrication Technology

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Design of Multiplier Using CMOS Technology

Retractile Clock-Powered Logic

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

ISSN Vol.04, Issue.05, May-2016, Pages:

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Two Phase Clocked Adiabatic Static CMOS Logic and its Logic Family

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic

Design of 10-bit current steering DAC with binary and segmented architecture

DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME

Transcription:

ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic Vivek Jain 1, Sanjiv Tokekar 2, Vaibhav Neema 3 1 Research Scholar, E&TC Department, IET-DAVV, Indore (M.P.), India 2 Professor, E&TC Department, IET-DAVV, Indore (M.P.), India 3 Asst. Professor, E&TC Department, IET-DAVV, Indore (M.P.), India Corresponding Author: Vivek Jain ABSTRACT: Recent trends in CMOS VLSI design are reducing MOSFET size continue in terms of channel length for small IC and due to this, the major issue of energy dissipation is the subject of worry. For resolve this, an idea of reversible logic is introduced for getting low power and highspeed switching in CMOS logic circuits, which reduces the power dissipation by reusing the energy drawn from the power supply. In this paper, 70 nm technology model file available from predictive technologies is used to simulate results for proposed logic and other strategies. These results show empirical comparison between different parameters such as logic style, power dissipation and delay and illustrate the proposed logic cell has significant improvement in terms of power dissipation. These improvements show that proposed method can considerably reduce the power consumption in new design when compared to the conventional CMOS design techniques. KEYWORDS: Reversible logic, charge recovery, Low power, Power clock ----------------------------------------------------------------------------------------------------------------------------- ---------- Date of Submission: 01-10-2018 Date of acceptance: 13-10-2018 --------------------------------------------------------------------------------------------------------------------------------------- I. INTRODUCTION VLSI designers have been motivated to explore new ideas in the field of VLSI design for low power and highspeed digital circuits. The thermal stress caused by power dissipation as heat, on chip is a major issue. So, reduction of power dissipation is also desirable for reliability. Reversible logic is a promising approach, which has been originally developed for low power digital circuits [1]. At the time of switching activity, dynamic power dissipation is a dominant factor. In conventional CMOS circuits, energy dissipation can be minimized using reversible logic and some of energy stored in load capacitance can be reused instead of dissipated as heat [2]. There are some traditional approaches to reduce the dynamic power dissipation such as decreasing voltage power supply, reducing physical capacitance and reducing switching activity [3]. These techniques are old and not enough to meet desired power requirement in present condition. Hence, most research has been focused on building adiabatic logic. Adiabatic logic works with switching activities which reduces the power by giving stored energy back to the supply [4]. Hence, the term adiabatic is relevant for low power VLSI design circuits, which implements reversible logic. Generally power supplies of adiabatic logic circuits have used constant current source, while non-adiabatic circuits that have used fixed-voltage power supply. II. COMPONENTS OF POWER DISSIPATION i) Dynamic (switching) power dissipation: When charging or discharging of the parasitic capacitances occurs during a node voltage transition. ii) Leakage power dissipation: Combination of MOSFET switches and gate leakage power caused by carrier tunneling through thin gate oxides. iii) Short-circuit power dissipation: Transitory power dissipation during an input signal transition when both the pull-up and pull-down network of CMOS gate are simultaneously on. iv) Static power dissipation: Static DC power consumed when a CMOS circuit is driven by low voltage swing input signals. III. DYNAMIC POWER DISSIPATION Charge-up phase: Output voltage rises from zero to V DD. Fifty percent of energy taken from supply is dissipated as heat through PMOS and rest is stored in load capacitance. www.ijceronline.com Open Access Journal Page 42

Charge-down: Output voltage drops from V DD to zero. Load capacitance energy is dissipated as heat through NMOS [5, 6]. General CMOS circuit having NMOS network, PMOS network and total output load capacitance is shown in Fig. 1 [2]. The average dynamic power dissipation for this network is given as: Where, T Switching probability, V i the node voltage, V DD the full voltage swing, C i is the parasitic capacitance linked with each node in the circuit ( including the output node ) and Ti represents the corresponding node transition factor associated with that node [2]. IV. ADIABATIC LOGIC In conventional CMOS logic circuits, each switching event causes an energy transfer from the power supply to the output node [2, 7]. In steady state, either PMOS network will be ON or NMOS network, it depends on input signal value. If an input signal switches from 1 to 0, A charge of Q = C load V DD is occupied from the voltage source, an energy quantum of E supply = QV DD = C load V 2 DD is drawn from the power supply during this transition. The difference between the delivered energy and the stored energy is dissipated in the PMOS network. If an input signal switches from 0 to 1, in steady state, NMOS is on and PMOS is off. Then charge stored on load capacitance is dissipated through NMOS network to ground. To minimize the power dissipation, we can reduce switching activities or load capacitance or voltage swing or apply a combination of these three. For making energy efficient logic circuits, the concept of adiabatic logic can be introduced for charge recovery [8, 9]. Fig. 2 shows a circuit for adiabatic switching where a constant current source equivalent to linear voltage ramp is used to charge the load capacitance instead of using constant voltage source. In circuit, resistance R is equivalent to the ON resistance of PMOS network. Charge can be transferred to the load capacitance through the power supply using constant current charging process. By adiabatic operation it is possible to allowing the stored charge from the load capacitance back to the power supply by reversing the current source direction. For this, constant current source must be capable to retrieve the energy from the load capacitance. Hence adiabatic logic circuits require pulsed power supply [2, 10]. The conventional CMOS logic gate and adiabatic logic gate are shown in Fig. 3(a) and Fig. 3(b) respectively. A conventional CMOS logic gate can be converted into an adiabatic logic gate. For this, pull-up and pull-down networks of the conventional CMOS logic circuits must be changed with complementary transmission gate networks [11]. www.ijceronline.com Open Access Journal Page 43

A CMOS inverter is shown in Fig. 4. This circuit uses a stepwise voltage ramp V A as a power supply having n equal voltage steps as shown in Fig. 5. When supply rises from zero to V DD, the load capacitance is charged through a resister (ON resistance of PMOS) in small voltage increments. Therefore, the total energy dissipation (hence total power dissipation) is reduced by a factor using stepwise charging. If n approaches infinity i.e. if supply voltage is a slow linear ramp, the energy dissipation will approach zero. V. DIFFERENT LOGIC FAMILIES Practical adiabatic families can be classified as either partially adiabatic or fully adiabatic. In a partially adiabatic logic circuit, some charge is allowed to be transferred to the ground. In a Fully Adiabatic, all the charge on the load capacitance is recovered by the power supply. Fully adiabatic circuits face problems with respect to operating speed and input power clock synchronization. Complete recovery of the power-clock is not possible through the PMOS device, so it is still only a quasi-adiabatic logic style [12]. 5.1 Efficient Charge Recovery Logic (ECRL) Fig. 6(a) shows the schematic of the Efficient Charge Recovery Logic (ECRL). A detailed description of ECRL can be found in [10, 13, 14]. Full output voltage swing is obtained because of the cross-coupled PMOS transistors in both, pre-charge and recover phases. But due to the threshold voltage of the PMOS transistors, circuit suffers from the non-adiabatic loss in both, pre-charge and recover phases. www.ijceronline.com Open Access Journal Page 44

5.2 Positive Feedback Adiabatic Logic (PFAL) Fig. 7(a) shows the schematic of the Positive Feedback Adiabatic Logic (PFAL). A detailed description of PFAL can be found in [9]. Here latch is made by two PMOS and two NMOS and functional block is in parallel with PMOSFETs, hence equivalent resistance is smaller at the time of charging the capacitance. 5.3 Proposed logic In CMOS circuits, active power dissipation depends on voltage swing, node capacitances and the switching activity of the circuit (number of transitions occurred per second) which depends on the frequency of operation. Fig. 8(a) shows the general schematic of the proposed logic. Proposed research work is based on circuit level approach to minimize power dissipation in MOS circuit, in which energy loss is reduced by limiting voltage differences across conducting devices. We ensure that the voltage drop across the transistor is relatively small at the time when the switching occurs. This is accomplished by using time varying voltage waveforms. A minimum dissipation of the energy at 500 MHz clock frequency is observed. Therefore, an optimum frequency exists in adiabatic logic, where energy consumed per cycle is minimum. VI. RESULT AND DISCUSSION The proposed logic circuit is designed using 70 nm PTM model file and is simulated using SPICE tool. Power clock supply is 1V. Evaluation of the performance of proposed architecture in terms of power consumption is given in table 1 and compare with other technology. Power consumed and delay by three technologies are shown in Fig. 9(a) and 9(b) respectively. The plot of power dissipation verses frequency shows that proposed logic gives better result in terms of power dissipation than ECRL and PFAL. www.ijceronline.com Open Access Journal Page 45

TABLE 1: POWER DISSIPATION Name/ Freq. 50MHz 100MHz 200MHz 250MHz 500MHz ECRL 4.30E-07 7.90E-07 1.50E-06 1.90E-06 1.30E-06 PFAL 3.40E-05 3.50E-05 3.50E-05 3.50E-05 1.60E-05 PROPOSED 2.60E-07 4.40E-07 8.60E-07 1.00E-06 9.30E-07 Figure 9(a). Comparative analysis of Power consumption TABLE 2: DELAY Name/ Freq. 50MHz 100MHz 200MHz 250MHz 500MHz ECRL 1.60E-10 1.00E-10 7.60E-11 2.00E-09 1.10E-11 PFAL 1.00E-11 7.10E-11 4.50E-11 4.50E-11 2.30E-10 PROPOSED 1.20E-10 7.90E-11 6.90E-11 2.00E-09 5.90E-11 Figure 9(b). Comparative analysis for delay VII. CONCLUSION In this paper energy efficient NAND gate based on ECRL, PFAL and proposed logic is presented. The proposed logic exhibits considerable improvement in terms of power dissipation and delay compared to ECRL and PFAL technology. In summary, proposed logic can provide useful building block in design of energy efficient circuits. REFERENCES [1]. Mehrdad Khatir, Alireza Ejlali, Amir Moradi. Improving the energy efficiency of reversible logic circuits by the combined use of adiabatic styles, INTEGRATION, the VLSI journal, 44, pp. 12 21, 2011. [2]. Sung-Mo Kang and Yusuf Leblebici, CMOS Digital Integrated Circuits - Analysis and Design, McGraw-Hill, 3 rd edition, Chapter 11, pp. 481-519, 2003. [3]. W. C. Athas, L. J. Svensson, J. G. Koller, N. Tzartzanis, and Y. Chou, Low-power digital systems based on adiabatic-switching principles, IEEE Trans. VLSI Systems, vol. 2, no. 4, pp. 398-406, 1994. www.ijceronline.com Open Access Journal Page 46

[4]. G Pauling Sheela and J. Jayshree, design and implementation of vedic multiplier using efficient charge adiabatic recovery logic, Asian Research publishing network (ARPN 15), vol. 10, no. 7, 2015. [5]. Jitendra Kanungo, S. Dasgupta Single Phase Energy Recovery Logic and Conventional CMOS Logic: A Comparative Analysis, Microelectronics and Solid State Electronics, 2013. [6]. X.Wang, S.Narasimhan, S.Paul, S.Bhunia, NEMTronics: symbiotic integration of nanoelectronic and nano mechanical devices for energy-efficient adaptive computing, in: Proceedings of the IEEE/ACM International Symposium on Nano scale architectures, pp. 210 217, June 2011. [7]. S. Kim, C. H. Ziesler, and M. C. Papaefthymiou. A true single-phase energy-recovery multiplier. IEEE Transactions on VLSI Systems, (2), pp. 194 207, April 2003. [8]. Vaibhav Neema and Sanjiv Tokekar, Analysis of Dual Threshold Voltage over Low Power design techniques for CMOS digital, Inventi Journal of Engineering and Technology, ISSN: 2230 9202, June 2011. [9]. Teichmann, Adiabatic Logic, Springer Series in Advanced Microelectronics, 34, 2012. [10]. Yong Moon and Deog-Kyoon Jeong, An efficient charge recovery logic circuit, IEEE Journal of Solid-State Circuits, vol. 31, no.4, pp. 514-522, April 1996. [11]. A. Kramer, J. S. Denker, B. Flower and J. Moroney, 2nd Order adiabatic computation with 2n-2p and 2n-2n2p logic circuits, Proceedings of IEEE Symposium Low Power Design, Dana Point, California, USA, pp. 191-196, April 1995. [12]. T. Indermauer and M. Horowitz, Evaluation of Charge Recovery Circuits and Adiabatic Switching for Low Power Design, Technical Digest IEEE Symposium Low Power Electronics, San Diego, pp. 102-103, Oct. 2002. [13]. F. Liu and K. T. Lau, Improved structure for efficient charge recovery logic, Electronics Letters, 34(18):1731-1732, 1998. [14]. L.Varga, F. Kovács and G. Hosszú, An Efficient Adiabatic Charge-Recovery Logic, Proceedings of the IEEE SouthEastCon 2001, pages 17-20, Clemson, South Carolina, USA, 30th March-1st April 2001. [15]. Kaushik Roy and Sharat C. Prasad, Low-Power CMOS VLSI Circuit Design, John Wiley & Sons, Chapter 7, pp. 272-320, 2000. [16]. V. G. Oklobdzija, D. Maksimovic, B. Nikolic, and K. W.Current. Clocked CMOS adiabatic logic with integrated single-phase power-clock supply. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 8(4), pp. 460 463, 2000. Vivek Jain "Energy Efficient MOS Digital Library Cell For Low Power VLSI Design " International Journal of Computational Engineering Research (IJCER), vol. 08, no. 09, 2018, pp 42-47 www.ijceronline.com Open Access Journal Page 47