Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Similar documents
Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Ultra Low Power VLSI Design: A Review

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Leakage Power Reduction in CMOS VLSI

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Implementation of dual stack technique for reducing leakage and dynamic power

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction by Using Sleep Methods

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Design & Analysis of Low Power Full Adder

Leakage Power Reduction in CMOS VLSI Circuits

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Low Power Design for Systems on a Chip. Tutorial Outline

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

Leakage Current Analysis

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Design of Multiplier using Low Power CMOS Technology

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low-Power Digital CMOS Design: A Survey

ISSN:

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Low Power Design in VLSI

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

A Survey of the Low Power Design Techniques at the Circuit Level

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

A Review of Clock Gating Techniques in Low Power Applications

Power Spring /7/05 L11 Power 1

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

Adiabatic Logic Circuits for Low Power, High Speed Applications

LOW LEAKAGE CNTFET FULL ADDERS

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

AnAdderwithNovelPMOSandNMOSforUltraLowPowerApplicationsinDeepSubmicronTechnology

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

A Literature Survey on Low PDP Adder Circuits

An Overview of Static Power Dissipation

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Low Power Design of Successive Approximation Registers

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Low Power Adiabatic Logic Design

19. Design for Low Power

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

CHAPTER 3 NEW SLEEPY- PASS GATE

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Low Power, Area Efficient FinFET Circuit Design

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

CHAPTER 1 INTRODUCTION

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design of Multiplier Using CMOS Technology

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Design and Implementation of Enhanced Leakage Power Reduction Technique in CMOS VLSI Circuits

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power &High Speed Domino XOR Cell

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

PERFORMANCE AND ANALYSIS OF ULTRA DEEP SUB MICRON TECHNOLOGY USING COMPLEMENTRY METAL OXIDE SEMICONDUCTOR INVERTER

Transcription:

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology & Engineering Swami VivekanandSubharti University, Meerut, Uttar Pradesh, India supratimsaha2009@gmail.com SWAPNA SHELOTE Assistant Professor, Department of ECE, Tulsiramji Gaikwad-Patil College of Engineering & Technology, Nagpur, Maharashtra, India sheloteswapna11@gmail.com ABSTRACT The voltage scaling is used as prominent technique to improve energy efficiency in digital systems where it is a vital parameter for power reduction in CMOS VLSI design and more often than not these can be related with leakage current and its reduction in various ways, however it is not the only technique capable of doing so. Scale reduce the effects of supply voltage on the quadratic reduction of energy Consumption of the system. There are methods where supply voltage inductor reduction time errors in the system can be corrected by error detection and correction circuits. In this work we review various power reduction techniques where voltage between focused operators based on scales applications that can tolerate errors with the entire system can be designed with justification. It has the ability to characterize the basic Arithmetic operators that use different operation strategies (combination supply voltage supply, body polarization scheme and clock frequency) generate models for the operators addressed. error file Applications can be mapped with the approximate approximation operator models to achieve optimal deviation between energy effectiveness and margin of error. This paper looks to find and provide briefings of some of the techniques that has been proposed in order to reduce leakage current and provide low power VLSI systems. Keywords Leakage current; CMOS VLSI;Voltage Scaling; Sleeper and Stack. I. INTRODUCTION The design procedures of low powered devices are one of the major advances the world has seen in the recent years as far as the electronic industry goes. When it comes to VLSI circuits, the most important aspect of the design parameter is associated with the phenomenon of power dissipation. It is this particular parameter which influences many a device and their effectiveness specially when they are battery operated. With the constant demand of an ever increasing thirst for chip density opposed by a constant demand of ever decreasing chip size are two of the major hindrances in being able to design systems which are higher powered while being small in size.it can also be stated that below 100 nm mode, the possibilities of power management is becoming more and more difficult because of the increased complexity of design it is associated with.apart from this leakage current also plays a vital role in relation to power management in low power VLSI devices.in submicron technologies, leakage and dynamic power consumption is becoming an essential design parameter as it is dissipating a considerable portion of the total power consumption. [1].Voltage scaling is another approach that can be utilized for applications in energy-harvested systems [6]. II. VARIOUS LOW POWER STRATEGIES The following (table-1) shows some different strategies available at different level in VLSI design process for optimizing the power consumption: Design circuit or logic Employed Strategy Sizing of transistor and energy recovery

Architecture Software Technology Operating System Various encoding schemes,pipelining strategies Strategy related to locality and concurrency Reduction of threshold values, supporting multiple threshold distribution Dividing in portions and power down solutions Table 1: Strategies for low power design III. POWER DISSIPATION BASICS In a circuit three components are responsible for power dissipation: dynamic power, short-circuit power and static power. Out of these, dynamic power or switching power is primarily power dissipated when charging or discharging capacitors and is described below [3]. P dyn = C L V dd 2 αf-------- (i) Where C L : Load Capacitance, a function of fan-out, wire length, and transistor size, Vdd: Supply Voltage, which has been dropping with successive process nodes, α: Activity Factor, meaning how often, on average, the wires and switch, f :Clock Frequency, which keeps increasing at each successive process node. Static power or leakage power is a function of the supply voltage (Vdd), the switching threshold (Vt), and transistor sizes. As process hubs shrink, leakage turns into a huger wellspring of vitality utilize, expending no less than 30% of aggregate power, Leakage or static power is the power consumed by a device due to transistor leakage and it is produced by the reverse biased current is shown in Fig.1. [4] Fig. 1-Leakage power dissipation due to low and high load capacitance If we think about a technology that comes under deep submicron, leakage power parameter becomes a very important aspect of it, When the CMOS device is operated in both static and switching mode, it is generated. One of the major problem areas of power dissipation is when power is consumed and eventually wasted when the device is in idle state and actually needs no power.[7]

IV. VARIOUS TECHNIQUES Many researchers as well research groups have developed power models for reducing static power consumption for embedded devices. Power gating [8, 11] is slowly becoming a very popular design technique for decreasing leakage currents.parallelism and pipelining techniques for power reduction were first proposed by [19]. Since then researchers have conducted studies aimed at optimizing the pipelining depth for dissipated power reduction in CMOS devices. Furthermore, researches have been conducted at a functional block level to compare the performances of pipelining and parallelism to find out which technique performs best when it comes to minimizing total switching power. [9] It was shown that leakage current (source of static power consumption) is a combination of sub threshold and gate-oxide leakage which is I leak = I subk+ I ax -------- (i) A. Circuit Technique Here, the authors have used two extra transistors labeled PM2 (PMOS) and NM2 (NMOS) for the purpose of raising the voltage at the source terminal of the MOSFET. Transistor PM2 is configured to work in cut off mode (source and gate connected) while the transistor NM2 follows the circuit input conditions. The transistor PM2 is connected between the output node of the circuit and the source terminal of the transistor NM1. The purpose of the transistor PM2 is to supply the leakage currents to the source terminal of the upper NMOS transistor (NM1) to charge the node (source terminal of NM1). During the logic 0 condition at the input, the two NMOS transistors NM1 and NM2 turned off and a logic 1 appears at the output node. As the extra PMOS transistor PM2 set to cut off state, it supplies leakage currents and establishes a certain voltage at the source terminal of the upper NMOS transistor NM2 depending on the amount of leakage current provided by the transistor PM2. [5] The increased source voltage (V S ) of the upper NMOS transistor (NM1) reduces the drain-to-source voltage (V DS ) of the transistor NM1 and then the subthreshold leakage current. The dependency of node voltage on the width of PMOS transistor PM2 is depicted in Fig. 2. Fig2 : Dependency of node voltage (source terminal of NM1) on the width [5] B. Leakage Minimization by Input Vector Control By applying a MLV to a circuit, it is possible to decrease the leakage current of the circuit when it is in the standby mode. Note that applying MLV for leakage reduction is independent of the source of leakage, which may include the subthresholdand the gate tunneling leakage currents. For our experimental results, authors have used SPICE to measure the leakage current of different gates under various input combinations. SPICE simulator reports a leakage current value that includes both the sub- threshold leakage and the gate leakage currents. [2]

Fig 3 : A 2-to-4 decoder indicating input combinations of a 2-input logic gate [2] Fig 4 : Contribution of all gates of type k to the total leakage [2] C. LFS & Sleep Stack With Keeper In this section, leakage reduction techniques in which the term used is for the first one leakage feedback with stack (LFS) approach is implemented and in the and other it is sleep-stack with keeper. This section explains the structure of the leakage feedback with stack approach and sleep-stack with keeper.

Fig 5 : Leakage feedback with Stack [10] In first technique i.e. leakage feedback with stack, we are combining the two low power techniques or taking advantage of two techniques i.e. leakage feedback approach due to less transistor than sleepy-stack in which we replaces each transistor in base case into three transistors, and ultra low power technique i.e. Stack approach. This is shown in fig. 5. In second approach i.e. sleep-stack with keeper, we are combining the three different low power leakage reduction techniques i.e. sleep transistors, stack approach with keeper as shown in fig 6. Fig 6 : Sleep stack with keeper approach [10] V. CONCLUSION Fast arithmetic cells, including adders and multipliers, are the most used circuits and most of them in many large-scale integration systems (VLSI). The semiconductor industry has experienced explosive growth in the integration of sophisticated multimedia applications into mobile electronic devices over the past decade. The above work by various authors that have been reviewed in this paper explains the need for low power VLSI circuits and presents various design techniques currently in the microelectronics industry. This paper will help designers understand the basic principles of low power as well as motivate them to go for further

implementations already existing in the field. The general description of the various methods has been briefly explained and further work and improvements will be sought in the future. REFERENCES [1] Senthil Kumaran Varadharajan andviswanathan Nallasamy, Low Power VLSI Circuits Design Strategies and methodologies: A Literature Review,978-1-5090-5555-5/17/$31.00 2017 IEEE [2] Afshin Abdollahi, Farzan Fallah, and Massoud Pedram, Leakage Current Reduction in CMOS VLSI Circuits 1063-8210/04$20.00 2004 IEEE [3] Shekhar Borkar, "Design Challenges of Technology Scaling," IEEE Micro, July/August 1999, pg 23. [4] H. Poor, An Introduction to Signal Detection and Estimation. New York: Springer-Verlag, 1985, ch. 4. [5] Venkata Ramakrishna Nandyala, Kamala Kanta Mahapatra, A Circuit Technique for Leakage Power reduction in CMOS VLSI circuits 978-1-5090-0033-3/16/$31.00 2016 IEEE [6] Saman Kiamehr, Mojtaba Ebrahimi, Mohammad Saber Golanbari, and Mehdi B. Tahoori, Temperature-Aware Dynamic Voltage Scaling,IEEE Transactions On Very Large Scale Integration (VLSI) Systems,2017 [7] Supratim Saha, Analysis Of Control Strategies Of Current Leakage And Its Effectiveness Using Low Power VLSI: A Literature Review,International Journal of Innovation in Engineering Research and Management ISSN 2348-4918. [8] Y. Shin, J. Seomun, K.M. Choi and T. Sakurai, Power gating: circuits, design methodologies, and best practice for standard-cell VLSI designs, ACM Trans. Des. Autom. Electron Syst. 15(4), 28:1 28:37 (2010). [9] Hippolyte Djonon Tsague, Bhekisipho Twala, First Principle Leakage Current Reduction Technique 978-1-4673-9354-6/15/$31.00 2015 IEEE [10] Pankaj Kr. Pal, Rituraj S. Rathore, Ashwani K.Rana, Gaurav Saini, New Low-Power Techniques: Leakage Feedback with Stack & Sleep Stack with Keeper,978-1-4244-9034-/10/$26.00 2010 IEEE [11] J Greer, A. Korkin and J.Lebanowsky, Nano and Giga Challenges in Microelectronics, Molecular and Nano Electronics: Analysis, Design and Simulation, 1st Edition, 24 Oct 2003