Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Similar documents
A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

Design of Signed Multiplier Using T-Flip Flop

Figure 2. Column Bypassing Multiplier 3.3 Row Bypassing Multiplier The multiplier which works on the basis of row

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

Implementation of a FFT using High Speed and Power Efficient Multiplier

Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

Design and Implementation of Complex Multiplier Using Compressors

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

An Optimized Design for Parallel MAC based on Radix-4 MBA

DESIGN OF EFFICIENT MULTIPLIER USING ADAPTIVE HOLD LOGIC

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

Mahendra Engineering College, Namakkal, Tamilnadu, India.

IJCSIET-- International Journal of Computer Science information and Engg., Technologies ISSN

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Implementation of Multiplier using Advanced Booth Multiplier and Razor Flip Flop

ISSN Vol.07,Issue.08, July-2015, Pages:

Verilog Implementation of 64-bit Redundant Binary Product generator using MBE

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India.

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17, ISSN

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Performance Analysis of Multipliers in VLSI Design

CHAPTER 1 INTRODUCTION

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

Design of an optimized multiplier based on approximation logic

Structural VHDL Implementation of Wallace Multiplier

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

Implementation of Booths Algorithm i.e Multiplication of Two 16 Bit Signed Numbers using VHDL and Concept of Pipelining

S.Nagaraj 1, R.Mallikarjuna Reddy 2

PERFORMANCE IMPROVEMENT AND AREA OPTIMIZATION OF CARRY SPECULATIVE ADDITION USING MODIFIED CARRY GENERATORS

A Review on Different Multiplier Techniques

Comparison of Conventional Multiplier with Bypass Zero Multiplier

Reducing the Computation Time in Two s Complement Multipliers A. Hari Priya 1 1 Assistant Professor, Dept. of ECE,

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

High Speed Speculative Multiplier Using 3 Step Speculative Carry Save Reduction Tree

Implementation of a High Speed and Power Efficient Reliable Multiplier Using Adaptive Hold Technique

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

Keywords: Column bypassing multiplier, Modified booth algorithm, Spartan-3AN.

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

Review of Booth Algorithm for Design of Multiplier

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS

High Speed and Reduced Power Radix-2 Booth Multiplier

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

A MODIFIED ARCHITECTURE OF MULTIPLIER AND ACCUMULATOR USING SPURIOUS POWER SUPPRESSION TECHNIQUE

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Analyse Low Power Wallace Multiplier Using GDI Technique

ISSN Vol.02, Issue.11, December-2014, Pages:

A Survey on Power Reduction Techniques in FIR Filter

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

A Faster Carry save Adder in Radix-8 Booth Encoded Multiplier

DESIGN OF LOW POWER MULTIPLIERS

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA

Data Word Length Reduction for Low-Power DSP Software

Volume 5 Issue 4, April Licensed Under Creative Commons Attribution CC BY

Digital Integrated CircuitDesign

An Efficient Design of Parallel Pipelined FFT Architecture

DESIGNING OF MODIFIED BOOTH ENCODER WITH POWER SUPPRESSION TECHNIQUE

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

ASIC Design and Implementation of SPST in FIR Filter

REVIEW ARTICLE: EFFICIENT MULTIPLIER ARCHITECTURE IN VLSI DESIGN

PERFORMANCE COMPARISION OF CONVENTIONAL MULTIPLIER WITH VEDIC MULTIPLIER USING ISE SIMULATOR

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS

An Efficient Design of Low Power Speculative Han-Carlson Adder Using Concurrent Subtraction

IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER

High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier

ADVANCES in NATURAL and APPLIED SCIENCES

Tirupur, Tamilnadu, India 1 2

Multiplier and Accumulator Using Csla

Transcription:

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI, Department of ECE, Santhiram Engineering College, Nandyala, A.P. ABSTRACT: This paper presents the design redundant Binary multiplier for 32*32bit number multiplication. Modern computer system is a dedicated and very high speed unique multiplier. Therefore, this paper presents the design a Redundant Binary multiplier. The proposed system generates M, N and interconnected blocks. By extending bit of the operands and generating an additional product the proposed system multiplier is obtained. Multiplication operation is performed by the Proposed system is efficient with the less area and it reduces delay i.e., speed is increased. Keywords: Redundant binary, modified booth encoding, partial products, proposed level logic unit. INTRODUCTION: Multiplication is a fundamental operation in most signal processing algorithms. Multipliers have large area, long latency and consume considerable power. Therefore low-power multiplier design has been an important part in low-power VLSI system design. There has been extensive work on low-power multipliers at technology, physical, circuit and systems. A system s performance is generally determined by the performance of the multiplier because the multiplier is generally the slowest element in the system. Furthermore, it is generally the most area consuming. Hence, optimizing the speed and area of the multiplier is a major design issue. However, area and speed are usually conflicting constraints so that improving speed results mostly in larger areas. As a result, a whole spectrum of multipliers with different area-speed constraints has been designed with fully parallel. The high speed multipliers and pipelined multipliers are used for digital signal processing (DSP) applications such as for multimedia and communication systems. High speed DSP computation applications such as Fast Fourier transform (FFT) require additions and multiplications. The conventional modified Booth encoding (MBE) generates an irregular partial product array because of the extra partial product bit at the least significant bit position of each partial product row. Therefore papers [4] presents a simple approach to generate a regular partial product array with fewer partial product rows and negligible overhead, thereby lowering the complexity of partial product reduction and reducing the area, delay, and power of MBE multipliers. But the drawback of this multiplier is that it functions only for signed number operands. The Existed system of RB multiplier is popular because of its high modularity and carries free addition. This RB multiplier mainly consists of four stages MBE, RB Encoding, Error correction word. In this existed system Column bypass technique can be used but this one occupied more area. So we propose the Dual logic level technique. EXISTED METHOD: Introduction to Redundant Binary Multiplier: The existed technique is design a Redundant Binary Multiplier by means of the usage of Column Bypass Multiplier. The block diagram of conventional method is proven in below. Page 411

It has four stages. Fig 1 Block diagram of Redundant Binary Multiplier. Radix-4 Booth Encoding: Radix-4 Booth Encoding scheme is introduced for lessen the partial product with the aid of half. This technique calls as Modified Booth Encoding. The more speed floating factor processors are designed by usage of this approach. Multiplication system includes two operands of bits. Consequently X= xn-1 xn-2...x2 x1 x0 stands for multiplicand bits, and Y= yn-1 yn-2... y2 y1 y0 stands for multiplier bits. From that the multiplier bits are combined into three and produce the only multiplier bit in Radix-4 Booth Encoding, therefore the partial product row reduced by half. From the under table definitely explain a grouping of the multiplier bits, where x describes the multiplicand bit. The operation includes -1,-2 means 1 s and 2 s complement of the multiplicand bits. The obtained partial products rows are shifted by 2-position and then placed. The height of the partial product array is (N/2). Table 1 Radix-4 booth encoding Error Correcting Word: The mistake correcting word is formed through modified booth encoding and redundant binary coding. In redundant binary coding the mistake is fashioned at each stage of converting normal binary into redundant binary numbers. While the multiplier bit has the value of -1 or -2, it requires the error value to produce the correct value. The mistake correcting word is usually written in the shape of ECW= E 0 F 0 E 0 F.... Where, E represents the error due to booth coding and F term represents the error due to redundant binary coding. The E term has two values 0 and 1.when the multiplier bit is -1 or -2, the error bit is 1 otherwise the value is 0. The F term also has two values 0 and -1. When converting the normal binary into redundant binary the error value is -1 otherwise the value is 0. Because of the error correcting word the accumulation stage is accelerated. The accumulation stage is finding by way of power of two word length (2n bit). The variety of RBPP accumulation stage (NRBPPAS) NRBPPAS = [log2 (N/4+1)] = n-1, if N=2n For 8-bit multiplication the accumulation stage is NRBPPS = n-1; N=23 = 3-1=2 2 accumulation levels are required for 8-bit multiplication. Similarly 32-bit multiplication contains 4 accumulation stages. If the mistake correcting word is removed from the multiplication then the accumulation stage is reduced to few and 25% of memory is saved. The 24X24 and 54X54 bit multiplication does not have an error correcting word; the ECW is present only on normal matrix. RB Encoding: The RB coding is used for the generation of RB partial product. Each digit should be transformed to the sign and accurate value with using OR-gate and Exclusive- OR-gate that increase the hardware and delay time. In our RB structure, two NB wide variety creates the RB partial product simplest with the aid of inverting one of the pair. No additional hardware is needed. Page 412

The two normal binary bits are used to represents one RB digit. The RB digit most effective consists of three numbers they are (0, 1, and -1). If both binary words are zero or one then the RB digit is zero. If the binary word has alternative value the RB digit is has the value of 1 or -1. The -1 is nothing but a complement operation. Fig. 2. 4 x 4 High Performance Proposedsystem Multiplier Table. 2 RB Encoding Ripple Carry Adder: Logical circuit with a couple of full adders may be used for including N-bit numbers and every full adder inputs a Cin, which is the Cout of the preceding adder. Such type of adder is known as Ripple Carry Adder, since every carry bit "ripples" to the following full adder. So ripple carry adder in virtual electronics is that circuit which produces the arithmetic sum of binary numbers which can be built with full adders related in cascaded with the carry output from every full adder related to the carry input of the subsequent full adder inside the chain. From which it could be noticed that bits a0and b0 within the figure represent the least significant bits of the numbers which is to be added and sum in form of output represented by the bits. In this we can use the column bypass multiplier in existed system. This technique occupies the more area so we can use the proposed system that is dual logic level technique. PROPOSED SYSTEM: The gates in the proposed system multiplier are always active regard of input logics. In, proposed system multiplier design is proposed in which the operations are disabled if the corresponding bit in the multiplicand is 0. Fig. 1 shows a N N Proposed system multiplier, it can be seen that the M0, M1 Mn done their operations and the outputs are passed to interconnected Block and N-Block simultaneously. Depends on the preference of operation the proposed level logic gives the N-block output to interconnected block and vice versa. Therefore, the output of the adders in both diagonals is 0, and the output sum bit is simply equal to the third bit.the above fig. 1 shows the 4*4 high performance Proposed system multiplier reduced the timing waste occurring in traditional circuits that use the critical path cycle as an execution cycle period. The basic concept is to execute a shorter path using proposed logic. Since most paths execute in a cycle period that is much smaller than the critical path delay. The same architecture is extended up to 32*32 bits. Proposed system widely been adopted in multipliers since it can reduce the number of partial product rows to be added, thus reducing the size and enhancing the speed of the reduction tree. The least significant bit position of each partial product row encoding, leading to an irregular partial product array and a complex reduction tree. Therefore, the proposed system multipliers with partial product array produce a very high speed. Fig. 3 R.T.L Schematic Page 413

The above fig. 2 shows the R.T.L schematic of high performance Proposed system multiplier and fig. 3 shows the technical schematic one of the LUT block of high performance Proposed system multiplier. Fig. 3 LUT in technical Schematic The below figure 4 shows the output waveform of 32*32 bit Proposed system multiplier. CONCLUSION The proposed system generates M, N and interconnected block. The each block consists of gates and the row in the architecture is lesser than existed multiplier. By generating a product with Proposed system multiplier is obtained. Multiplication operation is performed by the Proposed system unit is better performance than existed multiplier. The required hardware and the chip memory reduces and it reduces delay i.e., speed is increased. REFERENCES [1] R. Vattikonda, W. Wang, and Y. Cao, Modelling and minimization of pmos NBTI effect for robust naometer design, in Proc. ACM/IEEEDAC, Jun. 2004, pp. 1047 1052. [2] H. Abrishami, S. Hatami, B. Amelifard, and M. Pedram, NBTI-aware flip-flop characterization and design, in Proc. 44th ACM GLSVLSI, 2008, pp. 29 34. [3] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, NBTI-aware synthesis of digital circuits, in Proc. ACM/IEEE DAC, Jun. 2007, pp. 370 375. Fig. 4 OUTPUT Waveforms The below table 1 shows the comparison of existed system and proposed system with area and delay System/parameter Area[kb] Delay[ns] Existed System 382256 410 Proposed system 304820 138 Table.1 comparison table The above comparison table shows that area of the proposed system is less than existed system and delay is also efficient. [4] A. Calimera, E. Macii, and M. Poncino, Design techniques for NBTI tolerant power-gating architecture, IEEE Trans. Circuits Syst., Exp.Briefs, vol. 59, no. 4, pp. 249 253, Apr. 2012. [5] K.-C. Wu and D. Marculescu, Joint logic restructuring and pin reordering against NBTI-induced performance degradation, in Proc. DATE, 2009, pp. 75 80. [6] Y. Lee and T. Kim, A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs, in Proc. ASPDAC, 2011, pp. 603 608. [7] M. Basoglu, M. Orshansky, and M. Erez, NBTIaware DVFS: A new approach to saving energy and Page 414

increasing processor lifetime, in Proc.ACM/IEEE ISLPED, Aug. 2010, pp. 253 258. [8] K.-C. Wu and D. Marculescu, Aging-aware timing analysis and optimization considering path sensitization, in Proc. DATE, 2011, pp. 1 6. [9] K. Du, P. Varman, and K. Mohanram, High performance reliable variable latency carry select addition, in Proc. DATE, 2012, pp. 1257 1262. [10] A. K. Verma, P. Brisk, and P. Ienne, Variable latency speculative addition: A new paradigm for arithmetic circuit design, in Proc. DATE, 2008, pp. 1250 1255. Page 415