Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Similar documents
Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Chapter 1 Introduction to VLSI Testing

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

VLSI testing Introduction

Lecture 0: Introduction

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

EC 1354-Principles of VLSI Design

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

1 Digital EE141 Integrated Circuits 2nd Introduction

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

EECS 427 Lecture 21: Design for Test (DFT) Reminders

Testing Digital Systems II

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

Topic 3. CMOS Fabrication Process

Are You Really Going to Package That? Ira Feldman Debbora Ahlgren

VLSI Design I; A. Milenkovic 1

I DDQ Current Testing

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

420 Intro to VLSI Design

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

UNEXPECTED through-silicon-via (TSV) defects may occur

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Introduction to VLSI ASIC Design and Technology

EECS 579 Fall What is Testing?

50um In-line Pitch Vertical Probe Card

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

EE 434 Lecture 2. Basic Concepts

Enabling High Parallelism in Production RF Test

Very Large Scale Integration (VLSI)

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

ASICs Concept to Product

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

EE 330 Lecture 11. Capacitances in Interconnects Back-end Processing

Datorstödd Elektronikkonstruktion

5G: THE NEXT DISRUPTIVE TECHNOLOGY IN PRODUCTION TEST

Challenges and More Challenges SW Test Workshop June 9, 2004

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Introduction to CMC 3D Test Chip Project

High Throughput Non-contact SiP Testing

Semiconductor Devices

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

EMI Reduction on an Automotive Microcontroller

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

Advanced Digital Design

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

CS4617 Computer Architecture

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects

Effect of package parasitics and crosstalk on signal delay

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Optical Bus for Intra and Inter-chip Optical Interconnects

SWTW 2000, June Assessing Pad Damage and Bond Integrity for Fine Pitch Probing

Computer Aided Design of Electronics

High Temperature Mixed Signal Capabilities

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

Digital Integrated Circuits (83-313) Lecture 3: Design Metrics

+1 (479)

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

PRELIMINARY DATASHEET

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Chapter 7 Introduction to 3D Integration Technology using TSV

Leakage Power Minimization in Deep-Submicron CMOS circuits

Flip-Chip for MM-Wave and Broadband Packaging

Digital Design: An Embedded Systems Approach Using VHDL

Department of Electrical Engineering National Central University Jhongli, Taiwan

CHAPTER 11: Testing, Assembly, and Packaging

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Signal Integrity Design of TSV-Based 3D IC

Basic Fabrication Steps

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

VLSI: An Introduction

Engr354: Digital Logic Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

Market and technology trends in advanced packaging

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

PRELIMINARY DATASHEET

Chapter 11 Testing, Assembly, and Packaging

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

Transcription:

Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013

Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability (DFT) Wafer Level Test Hardware The best test strategy Wafer Level vs. Package Test Cost analysis 2

Motivation Testing is to check wether a chip behaves correctly Manufacturing tests: between production and shipping Reveal faulty Chips Increase quality of product Raise reputation / credibility Maximize Yield Reduce Costs (especially replacement in field) Design Production Testing Shipping 3

Chip manufacturing - Process Photolitography Resolution is limited by the light source 193nm for UV 13.5nm for E-UV using mirrors Many layers 4 to 10 metal + isolator each Photolitography Step[1] Mask Photoresist Material Wafer Process takes approx. 6 to 8 weeks Wafer with diameter of 100 to 300mm 4

Chip Manufacturing - Yield Yield is defined as: Example: a yield of 0.5 50% good chips example good chip distribution [2] 5

Chip Manufacturing Feature Size Yield decreases with feature size reduction More transistors per die increase the possibility for defects 10µm 1µm 180nm 90nm 65nm 45nm 32nm 22nm 18nm 10nm 1971 1985 1999 2002 2006 2008 2010 2012 approx. 2014 Feature Size Evolution[3] approx. 2020 6

Reasons for Bad Chips Variation in Process Transistor channel length Transistor threshold voltage Metal interconnect width and thickness Impact on the speed of a chip Disturbances in Manufacturing Temperature Humidity Vibrations Light Dust Electrostatic Charge Purity of Materials Misaligned Masks Can harm single dies up to whole wafer 7

Mask misalignment Can cause shorts / open circuits Drain Gate Source Top View Good! Fatal! Short in Drain/Source 8

Fault class: Static defects Layer to layer shorts e.g. metal to metal or V DD to GND Discontinuous wires floating inputs, disconnected outputs Shorted Circuit [4] Shorts in oxide e.g. gate connected to V DD Open Circuit [4] 9

Fault class: Dynamic defects Dynamic defects Only appear under certain circumstances For example: high frequency Typical: Timing violation / Delay Crosstalk Noise Crosstalk[5] Hard to test, chip needs to run in normal operation Simulation of crosstalk or other effects 10

Design For Testability (DFT) Insert dedicated test functionality to allow Wafer Level and Package Testing All logic becomes observable Apply Serial Test Pattern Checks logic itself, NOT functional verification FV is time consuming Test time is expensive Importance of DFT rises with higher logic density More logic Higher fault probability 11

DFT: Scan chains Output (Q) of FF is Test-Input (TI) of the following one Impact on: Area Delay (Critical Paths) Scan FF [6] Scan chain [6] 12

DFT: Boundary Scan Introduced by Joint Test Action Group [7] Access through 4-wire serial test access port (TAP) Test for: I/O Cells Interconnects between chip and PCB JTAG Boundary Scan[7] 13

Test methods For testing, on-chip I/O-pads must be contacted: Test Methods Wafer level test with Probe Cards Package test Traditional, physically contacted Horizontal, Cantilever Needle Vertical Membrane No or few physical contacts, Wireless EMWS Test in Socket 14

Test Hardware: ATE Automated Test Equipment (ATE) Contains the tester and a probe card Tester applies a test pattern Measuring & Monitoring If a die does not pass all tests it is discarded or will be used as lower cost part e.g. Intel Celeron, defective Cache is simply reduced Automated Test Equipment[8] 15

Probe Cards What is a Probe Card Interface between tester and device under test (DUT) Apply fine pitch of I/O pads to the ATE Consists of a PCB and contact elements Adapts to the probe station Different types and technologies Depends on costs and purpose Probe Card Probe Card PCB 16

Probe Cards: Horizontal Cantilever needle probe cards Probe needles on I/O Pads Good contact through horizontal scrubbing Features + Relatively cheap Alignment is difficult Parasitic inductance Needles must be maintained Difficult for increasing pin count Can leave significant probe marks Spring characteristic decreases probability to harm I/O pads Cantilever needle probe[10] Cantilever needle for area IO [10] 17

Probe Cards: Vertical Vertical probe cards Array of pins Especially for area-i/o Features + Higher frequencies (up to 5GHz) + Up to 5000 pads + Smaller probe marks + Lower inductance than Cantilever Needle but More expensive! Vertical Probe card[10] Vertical Probe Contact elements[11] 18

Test Hardware: Problems Problems for Needle based probe cards: Mechanical contacts may damage pads on IC This can cause wire bond failures Debris contaminates probe tips Must be cleaned! Alignment is difficult Probe mark[12] Probe Tips - cleaning[13] 19

Probe Cards: Membrane Membrane technology Flexible Membrane Transmission lines, litographically defined Contacts through holes in trans. lines Features +High frequencies (up to 20GHz) +Very low inductance +Easy alignment High Price Limitation Pad Count Membrane Probe Technology[13] 20

Wafer Level vs. Package Testing Wafer Level High initial costs (NRE) about $100.000 Reject defective devices at this early stage: avoid costs for unnecessary packaging Package No special equipment needed Last chance to detect faulty chips! Costs increase with: chips fabricated decreasing yield Test data provides overall status on the fabrication process Note: A tradeoff between test coverage and acceptable defects is very important! The best test strategy has to be determined individually 21

Wafer Level vs. Package Testing: Costs 1 2 3 Test Cost + 1 2 3 Overall Dies produced and tested on Wafer Level Dies packaged and tested in Package Overall Non recurring Engineering costs Legend KGD Known Good Dies Y PT, Y WT Yield Package Test / Wafer Test C WT, C PT, C P Costs for: Wafer Test / Package Test / Packaging NRE Overall NRE Costs 22

Costs ($) Wafer Level vs. Package Testing: Costs 1000000 Testing Costs Test cost incl. WT (70% yield) Test cost w/o WT (70% yield) Test cost incl. WT (50% yield) Test cost w/o WT (50% yield) 800000 600000 400000 200000 0 0 2000 4000 6000 8000 10000 Number of good Dies 23

Cost Reduction Progress in manufacturing / testing technology New materials New test approaches e.g. Wireless Testing Parallel Wafer Level Testing 24

Costs ($) Parallel Wafer Testing: Yield = 0.25 Costs: Parallel Testing 1 die probe 2 probes in parallel 3 probes in parallel 200000 180000 160000 140000 120000 100000 0 10000 20000 30000 40000 50000 Number of good Dies 25

Costs ($) Parallel Wafer Testing: Yield = 0.5 Costs: Parallel Testing 1 die probe 2 probes in parallel 3 probes in parallel 200000 180000 160000 140000 120000 100000 0 10000 20000 30000 40000 50000 Number of good Dies 26

Costs ($) Parallel Wafer Testing: Yield = 0.9 Costs: Parallel Testing 1 die probe 2 probes in parallel 3 probes in parallel 200000 180000 160000 140000 120000 100000 0 10000 20000 30000 40000 50000 Number of good Dies 27

Conclusion 1. Testing is crucial! 2. DFT is crucial! Allows fault detection after manufacturing Importance rises with higher logic density 3. Importance of Wafer Level testing rises with decreasing yield and higher density ICs 4. The best test strategy depends on yield & amount of dies Many parameters. No easy decision! 28

Outlook Future in Wafer Level Testing EMWS: Electromagnetic Wafer Sort by STMicroelectronics EMWS: Each die contains tiny antenna Apply test pattern w/o physical contact High power devices still need physical power supply For low-power devices: Power via electromagnetic energy EMWS[14] 29

Thank you for your attention! 30

References 1. Peter Fischer, VLSI_03_Manufacturing, Lecture: VLSI Design, Winter term 2012/2013 2. Chris Edwards, The big screen, IET Electronic Systems and Software, Aug. 2006 3. International Roadmap For Semiconductors, 2011 Edition, Executive Summary, 2011 4. Frank Lee, Critical Area: A metric for Yield Optimizations in Physical Design, Synopsys Inc, May 6, 2006 5. Patrick Schulz, Design for Test (DFT),Diploma Thesis, University of Mannheim, 2003 6. Yinghua Min and Charles Stroud, VLSI Test Principles and Architectures: Design for Testability, San Francisco, 2006 7. Markus Mueller, Exploring the Testability Methodology and the Development of Test and Debug Functions for a Complex Network ASIC, Chair of Computer Architecture, University of Heidelberg, Mannheim, Aug. 01, 2011 8. http://upload.wikimedia.org/wikipedia/commons/5/5b/wafer_prober_service_configuration.jpg, last visited Jan. 11, 2013 9. HTT Group, http://httgroup.eu/divisions/probe_card/cantilever_probecards.php, last visited Jan. 31, 2013 10. http://www.electroiq.com/articles/ap/print/volume-14/issue-12/features/probe-cards-enable-wafer-leveltest.html, last visited Jan. 11, 2013 11. Ira Feldman, Wafer Probe Technology & Application Overview, Silicon Valley TEST Conference & Expo, San Jose, Nov. 2010 12. Rajiv Roy, Probe-Mark Inspection, Rudolph Technologies, May 2007 13. William R. Mann, Frederick L. Taber, Philip W. Seitzer and Jerry J. Broz, The Leading Edge of Production Wafer Probe Test Technology, Paper for IEEE International Test Conference, Charlotte, NC, 2004 14. STMicroelectronics, Worlds First Fully Contactless Wafer Test, http://www.st.com/internet/com/press_release/t3256.jsp, last visited Jan. 17, 2013 31