Distributed Power Delivery for Energy Efficient and Low Power Systems

Similar documents
Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3

An Area Effcient On-Chip Hybrid Voltage Regulator

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

PREDICTING SOUND LEVELS BEHIND BUILDINGS - HOW MANY REFLECTIONS SHOULD I USE? Apex Acoustics Ltd, Gateshead, UK

NINTH INTERNATIONAL CONGRESS ON SOUND AND VIBRATION, ICSV9 PASSIVE CONTROL OF LAUNCH NOISE IN ROCKET PAYLOAD BAYS

A 1.2V rail-to-rail 100MHz amplifier.

Adaptive Harmonic IIR Notch Filter with Varying Notch Bandwidth and Convergence Factor

Additive Synthesis, Amplitude Modulation and Frequency Modulation

DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators

Power Comparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optimization of Interposer Interconnects

Transmit Power and Bit Allocations for OFDM Systems in a Fading Channel

A HIGH POWER FACTOR THREE-PHASE RECTIFIER BASED ON ADAPTIVE CURRENT INJECTION APPLYING BUCK CONVERTER

A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES

OTC Statistics of High- and Low-Frequency Motions of a Moored Tanker. sensitive to lateral loading such as the SAL5 and

Allocation of Multiple Services in Multi-Access Wireless Systems

International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN

Secondary-side-only Simultaneous Power and Efficiency Control in Dynamic Wireless Power Transfer System

Power Optimal Signaling for Fading Multi-access Channel in Presence of Coding Gap

COMPARISON OF TOKEN HOLDING TIME STRATEGIES FOR A STATIC TOKEN PASSING BUS. M.E. Ulug

SAMPLING PERIOD ASSIGNMENT FOR NETWORKED CONTROL SYSTEMS BASED ON THE PLANT OPERATION MODE

Review Paper on Low Power VLSI Design Techniques

Part 9: Basic AC Theory

Content-Centric Multicast Beamforming in Cache-Enabled Cloud Radio Access Networks

Selective Harmonic Elimination for Multilevel Inverters with Unbalanced DC Inputs

Interference Management in LTE Femtocell Systems Using Fractional Frequency Reuse

High Impedance Fault Detection in Electrical Power Feeder by Wavelet and GNN

Design and Implementation of Block Based Transpose Form FIR Filter

Impact of the Reactive Power Compensation on Harmonic Distortion Level

Energy-Efficient Cellular Communications Powered by Smart Grid Technology

A simple charge sensitive preamplifier for experiments with a small number of detector channels

Keywords: International Mobile Telecommunication (IMT) Systems, evaluating the usage of frequency bands, evaluation indicators

Compensated Single-Phase Rectifier

Fundamental study for measuring microflow with Michelson interferometer enhanced by external random signal

Green Base Station Placement for Microwave Backhaul Links

An orthogonal multi-beam based MIMO scheme. for multi-user wireless systems

Transmit Beamforming and Iterative Water-Filling Based on SLNR for OFDMA Systems

THE POWER supply voltage aggressively scales with each

COMBINED FREQUENCY AND SPATIAL DOMAINS POWER DISTRIBUTION FOR MIMO-OFDM TRANSMISSION

OPTIMIZE THE POWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS

Implementation of Adaptive Viterbi Decoder

Kalman Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environment

Comparison Between PLAXIS Output and Neural Network in the Guard Walls

Iterative Receiver Signal Processing for Joint Mitigation of Transmitter and Receiver Phase Noise in OFDM-Based Cognitive Radio Link

Exploring the Electron Tunneling Behavior of Scanning Tunneling Microscope (STM) tip and n-type Semiconductor

Intermediate-Node Initiated Reservation (IIR): A New Signaling Scheme for Wavelength-Routed Networks with Sparse Conversion

ARecent report pointed out that in 2014 the amount of data

Precise Indoor Localization System For a Mobile Robot Using Auto Calibration Algorithm

A Decoupling Structure of Controllable Reactor of Transformer Type

Parameter Identification of Transfer Functions Using MATLAB

Performance of Multiuser MIMO System Employing Block Diagonalization with Antenna Selection at Mobile Stations

New Adaptive Linear Combination Structure for Tracking/Estimating Phasor and Frequency of Power System

Applied Digital Control: Optimization for System Identification and Controller Design

Power-Efficient Resource Allocation for MC-NOMA with Statistical Channel State Information

Improving Power Grid Resilience Through Predictive Outage Estimation

Sound recording with the application of microphone arrays

Power Distribution Paths in 3-D ICs

TESTING OF ADCS BY FREQUENCY-DOMAIN ANALYSIS IN MULTI-TONE MODE

Decoupling Capacitance

Analysis on DV-Hop Algorithm and its variants by considering threshold

Phase Noise Modelling and Mitigation Techniques in OFDM Communications Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Design of Efficient ZVS Half-Bridge Series Resonant Inverter with Suitable Control Technique

Yield Enhancement Techniques for 3D Memories by Redundancy Sharing among All Layers

A Frequency Domain Approach to Design Constrained Amplitude Spreading Sequences for DS-CDMA Systems for Frequency Selective Fading Channels

Research Article Novel Design for Reduction of Transformer Size in Dynamic Voltage Restorer

A Novel Low Power UWB Cascode SiGe BiCMOS LNA with Current Reuse and Zero-Pole Cancellation

Optimal Modulation Index of the Mach-Zehnder Modulator in a Coherent Optical OFDM System Employing Digital Predistortion

Equal Area Criterion Scheme to Reduce DC Bus Voltage Stress of Single Stage Single Switch Power Factor Corrected Converter

A NEW APPROACH TO UNGROUNDED FAULT LOCATION IN A THREE-PHASE UNDERGROUND DISTRIBUTION SYSTEM USING COMBINED NEURAL NETWORKS & WAVELET ANALYSIS

Randomized Scheduling Algorithms for Wireless Sensor Networks

A New Localization and Tracking Algorithm for Wireless Sensor Networks Based on Internet of Things

Boris Krnic Nov 15, ECE 1352F. Phase Noise of VCOs

Radio Resource Management in a Coordinated Cellular Distributed Antenna System By Using Particle Swarm Optimization

ARCING HIGH IMPEDANCE FAULT DETECTION USING REAL CODED GENETIC ALGORITHM

Faouzi Ben Ammar INSAT Centre Urbain Nord, BP 676,1080Tunis I. INTRODUCTION

ELECTROMAGNETIC COVERAGE CALCULATION IN GIS

IMPROVEMENT OF FAR FIELD RADIATION PATTERN OF LINEAR ARRAY ANTENNA USING GENETIC ALGORITHM

Department of Mechanical and Aerospace Engineering, Case Western Reserve University, Cleveland, OH, 2

UNIT - II CONTROLLED RECTIFIERS (Line Commutated AC to DC converters) Line Commutated Converter

Investigating Multiple Alternating Cooperative Broadcasts to Enhance Network Longevity

New Characteristics Analysis Considering Transmission Distance and Load Variation in Wireless Power Transfer via Magnetic Resonant Coupling

Distributed Resource Allocation Assisted by Intercell Interference Mitigation in Downlink Multicell MC DS-CDMA Systems

Single Stage Amplifier

Design and Development Considerations of Voltage Controlled Crystal Oscillator (VCXO) Networks

Incorporating Performance Degradation in Fault Tolerant Control System Design with Multiple Actuator Failures

Hand Gesture Recognition and Its Application in Robot Control

ANALYSIS AND OPTIMIZATION OF SYNTHETIC APERTURE ULTRASOUND IMAGING USING THE EFFECTIVE APERTURE APPROACH. Milen Nikolov, Vera Behar

The Research of PV MPPT based on RBF-BP Neural Network Optimized by GA

HÉDIO TATIZAWA 1, ERASMO SILVEIRA NETO 2, GERALDO F. BURANI 1, ANTÔNIO A. C. ARRUDA 1, KLEIBER T. SOLETTO 1, NELSON M. MATSUO 1

Distributed Resource Allocation for Proportional Fairness in Multi-Band Wireless Systems

Simplified Analysis and Design of MIMO Ad Hoc Networks

Packet Loss and Delay Combined Optimization for Satellite Channel Bandwidth Allocation Controls

Experiment 7: Frequency Modulation and Phase Locked Loops October 11, 2006

INTERFERENCE avoidance has emerged in the literature

Beacon-driven Leader Based Protocol over a GE Channel for MAC Layer Multicast Error Control

ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS

Cross-correlation tracking for Maximum Length Sequence based acoustic localisation

Dynamic Model Displacement for Model-mediated Teleoperation

Transcription:

Distributed Power Delivery for Energy Efficient and Low Power Systes Selçuk Köse Departent of Electrical Engineering University of South Florida Tapa, Florida 33620 kose@usf.edu Eby G. Friedan Departent of Electrical and Coputer Engineering University of Rochester Rochester, New York 14627 friedan@ece.rochester.edu Abstract With the introduction of ultra-sall on-chip voltage regulators, novel design ethodologies are needed to deterine the location of these on-chip power supplies and decoupling capacitors. In this paper, the optial location of the power supplies and decoupling capacitors is deterined for different size and nuber of coponents. Facility location probles are applied to deterine the optiu location of power supplies and decoupling capacitors in the proposed ethodology. I. INTRODUCTION Power consuption has becoe one of the priary design bottlenecks with the proliferation of obile devices as well as server fars where the perforance per watt is the priary benchark [1], [2]. The power generated and regulated by the off-chip and on-chip voltage regulators is distributed to billions of load circuits throughout a power distribution syste. Due to the parasitic ipedances of the power distribution networks, voltage fluctuations in the supply voltage occur. These fluctuations depend on the characteristics of the load current deand and the behavior of the power distribution network. The power supplies are also supported by locally distributed decoupling capacitors which serve as a reservoir of charge to provide current to the load circuits [3]. The coplexity of the high perforance power delivery systes has increased significantly with the integration of diverse technologies on a single die, foring an heterogeneous syste. The required supply voltage levels and the noise constraints vary significantly for different technologies. Novel voltage regulator topologies [4] [10] have recently been proposed, enabling not only on-chip power supply integration but also ultiple on-chip point-ofload power supplies [10] [12]. These on-chip point-of-load power supplies provide the required voltage close to the load circuits, greatly reducing the effective ipedance between the load circuits and power supplies [13]. Next generation power delivery networks for heterogeneous circuits will contain tens to hundreds of on-chip power supplies supported by thousands of on-chip decoupling capacitors to satisfy the current deand of billions of load circuits. The design of these coplex systes would be enhanced This research is supported in part by the National Science Foundation under Grant Nos. CCF-0811317 and CCF-0829915, grants fro the New York State Office of Science, Technology and Acadeic Research to the Center for Advanced Technology in Electronic Iaging Systes, and by grants fro Intel Corporation and Qualco Corporation. if available resources such as the physical area, nuber of etal layers, and power budget were not severely liited. The continuous deand over the past decade for greater functionality within a sall for factor has iposed tight resource constraints while achieving aggressive perforance and noise targets [14]. Several techniques have been proposed for efficient power delivery systes, typically focusing on optiizing the power network [14], [15] and the placeent of the decoupling capacitor [16], [17]. Recently, Zeng et al. [18] proposed an optiization technique for designing power networks with ultiple on-chip voltage regulators. The design tradeoffs of on-chip voltage regulators and the effect of these regulators on high frequency voltage fluctuations and id-frequency resonance have been analyzed. The interactions between the power supplies and the decoupling capacitors are, however, not considered, which can significantly affect the perforance of an integrated circuit [18]. These interactions are quite critical in producing a robust power distribution network [10]. Decoupling capacitors and on-chip power supplies exhibit several distinct characteristics such as the response tie, area requireents, and parasitic output ipedance. Circuit odels for these coponents should accurately capture these characteristics while being sufficiently siple to not overly coplicate the optiization process. In this paper, facility location optiization algoriths will be analyzed to deterine the optiu location of power supplies and decoupling capacitors to iniize power noise [19] [21]. The constraints of this power network co-design proble depend on the application and specifications of the perforance objectives. The optiization goal can be to iniize the axiu voltage drop, average voltage drop, total area, response tie for particular circuit blocks, or total power consuption. Multiple optiization goals can also be applied for saller or id-size integrated circuits. The rest of the paper is organized as follows. A recently developed point-of-load voltage regulator is briefly described in Section II. The facility location proble is introduced with soe exeplary applications in Section III. A proposed ethodology to deterine the optiu location of the power supplies and decoupling capacitors is described in Section IV. The optiu location of the power supplies and decoupling capacitors, deterined for a saple circuit, is presented in 978-1-4673-5051-8/12/$31.00 2012 IEEE 757 Asiloar 2012

Output test pad PWM Op ap 80 µ Passive RC 185 µ Op ap output stage Fig. 1. Microphotograph of the hybrid voltage regulator [8]. Section V. The paper is concluded in Section VI. II. POINT-OF-LOAD VOLTAGE REGULATORS Placing ultiple point-of-load power supplies is challenging since the area occupied by a single power supply should be sall and the efficiency sufficiently high. Guo et al. proposed an output capacitorless low-dropout regulator which occupies 0.019 2 on-chip area [6]. The authors also recently proposed a hybrid point-of-load voltage regulator, occupying 0.015 2 on-chip area [8]. A icrophotograph of this hybrid point-of-load regulator is shown in Fig. 1. These area efficient voltage regulators provides a eans for distributing ultiple local power supplies across an integrated circuit, while aintaining high current efficiency and sall area. With the proposed voltage regulator, on-chip signal and power integrity is significantly enhanced while providing the capability for distributing ultiple power supplies. Design ethodologies are therefore required to deterine the location, size, and nuber of these power supplies and decoupling capacitors. III. FACILITY LOCATION PROBLEM Every coplex syste is coposed of sall coponents, typically with siple structures. The interactions and aggregation of these coponents for a highly coplex syste. The efficiency of this syste strongly depends upon the physical location of these coponents, which significantly affects the interactions. In ost systes, these coponents can be grouped into two categories; (1) facilities, and (2) custoers. The location, size, and nuber of facilities that iniize the cost of providing a high quality service to the custoers are the design objectives [19]. Matheatical odels of the location have been used to deterine the optial nuber, location, and size of the facilities as well as allocate facility resources to the custoers that iniize or axiize the objective function [19] [21]. The proble can be categorized depending upon the network (discrete or continuous) and the input (static or dynaic). The objective is to iniize the average (or axiu) distance fro the facilities to the custoers, deterine the iniu nuber of facilities that serve a particular nuber of custoers at fixed locations, or axiize the iniu distance fro a facility to the custoers. The design of on-chip power delivery networks for heterogeneous circuits exhibits significant siilarities to the design of electrical distribution networks in larger scale systes, such as the electric power distribution grid of a city. The electricity generated at a power plant is downconverted and distributed to substation transforers, typically outside a city. The output voltage of these substation transforers is further downconverted and regulated by the local power supplies. This voltage can be either delivered to industrial custoers at a high voltage level or further downconverted and regulated at saller substations and distributed to the local city power grid. Large capacitors are integrated within this electrical distribution syste to reduce voltage fluctuations. Alternatively, in an heterogeneous integrated circuit, the on-board voltage regulators downconvert the output voltage of the board level power supply unit. This voltage is delivered to the onchip voltage regulators or directly to the on-chip power grid which provides current to the load circuits. The required voltage levels and noise constraints are technology and design dependent. The on-chip power delivery syste is designed to deliver different voltage levels within noise constraints. Decoupling capacitors are distributed throughout the on-chip power delivery network to support the power distribution syste. A parallel can be drawn between the transforers and off-chip voltage regulators, the sall substations and onchip voltage regulators, and the large capacitors and on-chip decoupling capacitors. Additionally, the voltage requireents of different technologies within an heterogeneous integrated circuit vary in a siilar anner as the voltage requireents of industrial and residential regions within a city. Several optiization algoriths have been proposed which consider possible constraints to provide an optial solution to this proble. Due to the siilarity between the electrical distribution network of a city and the power distribution network of a heterogeneous circuit, analogous algoriths can be applied to the design of these systes. Since facility location algoriths are widely used to design electrical distribution networks, these city planning algoriths are leveraged in designing on-chip power networks within heterogeneous circuits. IV. PROPOSED OPTIMIZATION METHODOLOGY These existing optiization techniques and ethodologies provide a near optial solution for the location of the onchip power supplies and decoupling capacitors that iniize the average (or axiu) power noise. The focus of this paper is to deterine the optial nuber and location of the on-chip power supplies and decoupling capacitors that iniize the average power noise. A closed-for odel of the ipedance, proposed in [13], is utilized to deterine the effective resistance fro the power supplies and decoupling 758

capacitors to the load circuits. The constraints of the proble are as follows, The total area of the power supplies and decoupling capacitors is aintained constant All power supplies ust be larger than the iniu sized power supply All decoupling capacitors ust be larger than the iniu sized decoupling capacitor The proposed objective function is Miniize F(n,,k) = K 1 +K 2 +K 3 Subject to n C Pij (R out (P i )+R eff (P i,l j )) k C Dij (R esr (D i )+R eff (D i,l j )) k cap Di N trlj (R esr (D i )+R eff (D i,l j )), R eff (node α,node β )/r = 1 2π [ln((x 1 x 2 ) 2 +(y 1 y 2 ) 2 )+3.44388] 0.033425, (1) (2) 1 < x α,β < (Grid size) X, (3) 1 < y α,β < (Grid size) Y, (4) C Pij = C Dij = G ij n G, (5) ij G ij k G, (6) ij C Pij cap Pi, (7) j=1 C Dij cap Di, (8) j=1 n C Pij + n cap Pi + k C Dij = I i, (9) k cap Di I i, (10) where the definition of the aforeentioned paraeters are listed in Table I. In heterogeneous systes, where the slew rate, operating frequency, and supply voltage vary significantly over different portions of a circuit, K i provides the flexibility to optiize the power distribution syste for different technologies. Paraeter TABLE I DEFINITION OF THE PARAMETERS IN (1)-(10). Definition P i i th power supply D i i th decoupling capacitor L i i th circuit block R eff (node 1,node 2 ) Effective resistance between node 1 and node 2 (x 1,y 1 ) Coordinates of node 1 (x 2,y 2 ) Coordinates of node 2 r Unit resistance within the power grid n Nuber of power supplies k Nuber of decoupling capacitors Nuber of load circuits R out(p i ) Output resistance of i th power supply R esr(d i ) Effective series resistance of i th decap G ij Equivalent conductance K i Weighting paraeter C Pij Contribution of i th power supply to j th load C Dij Contribution of i th decap to j th load cap Pi Capacity of i th power supply cap Di Capacity of i th decap N trlj Noralized transition tie of the j th load circuit I i Current deand of i th load (Grid size) X Power grid size in horizontal direction (Grid size) Y Power grid size in vertical direction V. CASE STUDY The voltage drop aps of the related circuits with the decoupling capacitors and power supplies located at the predeterined locations are obtained using SPICE. The node voltages, which are deterined by the SPICE siulations, are produced fro MATLAB. The optiu nuber and location of the power supplies and decoupling capacitors that iniize the voltage drop and response tie within certain blocks are deterined for a sall saple circuit, as shown in Fig. 2, to provide an intuitive understanding of the proposed ethodology. The saple circuit is coposed of nine circuit blocks with different current profiles. The third and seventh blocks have current profiles with a faster transition tie (i.e., ) than the rest of the circuits which have a relatively slower transition tie (i.e., ). Since the decoupling capacitors provide iediate charge, intuitively, the decoupling capacitors should be placed close to those blocks with a fast transition tie to provide a fast response to transient changes in the current. The optiu location of the power supplies and decoupling capacitors that iniizes both the axiu voltage drop and response tie for certain blocks (the third and seventh blocks) is used, where K 1, K 2, and K 3 are set to one. The optiu location of one large on-chip power supply and ten decoupling capacitors (case a) is shown in Fig. 2a. The power supply is located at a central location to reduce the axiu physical distance to each of the circuit blocks. The decoupling capacitors, however, are placed physically close to the third and seventh blocks. Most of the current deand of these blocks is provided by the surrounding decoupling capacitors. The optiu location of the four relatively low current power supplies and 20 sall 759

decoupling capacitors (case b) is also deterined, as shown in Fig. 2b. In this case, the third and seventh circuit blocks are surrounded by local decoupling capacitors whereas the power supplies are distributed to ensure that the axiu distance fro the power supplies to the reaining blocks is iniized. The voltage drop ap for these two cases is shown in Fig. 3, where increasing the nuber of power supplies and decoupling capacitors significantly reduces the voltage drop. The axiu voltage drop is 133 V and 77 V, respectively, for cases a and b. More than a 40% reduction in the axiu voltage drop is achieved by increasing the nuber and distributing the location of the power supplies and decoupling capacitors. The area of an on-chip power supply is typically doinated by the output pass transistors [22], where the size of these pass transistors changes linearly with the axiu output current deand. The size of an on-chip power supply therefore changes linearly with the axiu output current capacity. Additionally, when the on-chip power supplies are sufficiently sall, the ultra-sall power supplies are cobined to for a larger power supply with a higher output current. In this paper, the size of a power supply is assued to change linearly with the axiu output current capacity. The general algebraic odeling syste (GAMS) is used as the optiization tool [23]. The proposed optiization ethodology is odeled as a ixed integer nonlinear prograing proble. The location of the power supplies and decoupling capacitors that iniizes the objective function is deterined for different nuber of power supplies and decoupling capacitors. The total area of the power supplies and decoupling capacitors is aintained the sae for all of the test cases to provide a fair coparison. 20 A #3 #2 20 A #1 20 A 20 A #2 20 A #1 20 A #3 20 A #5 #6 #9 20 A #8 20 A 20 A #4 #7 20 A (a) 20 A #6 #9 20 A 20 A Large power supply #5 #8 20 A 20 A Large decap Sall power supply Sall decap #4 #7 20 A 20 A VI. CONCLUSIONS The siilarity between the facility location proble and the design of heterogeneous integrated circuits is exploited. An objective function based on the effective resistance between the power supplies, decoupling capacitors, and load circuits is proposed that iniizes the average voltage drop throughout a heterogeneous integrated circuit. This objective function considers the contribution of current fro different power supplies and decoupling capacitors to a circuit block as well as the size of the individual circuit blocks. The optial location of the on-chip power supplies and decoupling capacitors is deterined for a saple circuit. REFERENCES [1] D. Meisner et al., Power Manageent of Online Data-Intensive Services, Proceedings of the ACM International Syposiu on Coputer Architecture, pp. 319 330, June 2011. [2] R. Jakushokas, M. Popovich, A. V. Mezhiba, S. Kose, and E. G. Friedan, Power Distribution Networks with On-Chip Decoupling Capacitors, Second Edition, Springer, 2011. [3] M. Popovich, M. Sotan, A. Kolodny, and E. G. Friedan, Effective Radii of On-Chip Decoupling Capacitors, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, Vol. 16, No. 7, pp. 894 907, July 2008. (b) Fig. 2. Floorplan of the exaple circuit with two different power delivery networks, a) one large power supply with ten decoupling capacitors, and b) four relatively saller distributed power supplies with 20 sall decoupling capacitors. [4] K. N. Leung and P. K. T. Mok, A Capacitor-Free CMOS Low- Dropout Regulator with Daping-Factor-Control Frequency Copensation, IEEE Journal of Solid-State Circuits, Vol. 38, No. 10, pp. 1691 1702, October 2003. [5] P. Hazucha et al., Area-Efficient Linear Regulator with Ultra-Fast Load Regulation, IEEE Journal of Solid-State Circuits, Vol. 40, No. 4, pp. 933 940, April 2005. [6] J. Guo and K. N. Leung, A 6-µW Chip-Area-Efficient Output- Capacitorless LDO in 90-n CMOS Technology, IEEE Journal of Solid-State Circuits, Vol. 45, No. 9, pp. 1896 1905, Septeber 2010. [7] Y. Raadass, A. Fayed, B. Haroun, and A. Chandrakasan, A 0.16 2 Copletely On-Chip Switched-Capacitor DC-DC Converter Using Digital Capacitance Modulation for LDO Replaceent in 45n CMOS, Proceedings of the IEEE International Solid-State Circuits Conference, pp. 208 209, February 2010. [8] S. Kose and E. G Friedan, An Area Efficient Fully Monolithic Hybrid Voltage Regulator, Proceedings of the IEEE International Syposiu on Circuits and Systes, pp. 2718 2721, May/June 2010. 760

1 Voltage (V) 0.95 0.9 0.85 25 20 15 10 Four power supplies and twenty decoupling capacitors 5 0 0 25 20 15 10 5 One power supply and ten decoupling capacitors Fig. 3. Map of voltage drops within the saple circuit for two different cases, one large power supply with ten decoupling capacitors, and two relatively saller distributed power supplies with 20 sall decoupling capacitors. The axiu voltage drop is reduced when the nuber of power supplies and decoupling capacitors is increased due to the distributed nature of the power delivery network. [9] S. Kose and E. G Friedan, On-Chip Point-of-Load Voltage Regulator for Distributed Power Supplies, Proceedings of the ACM Great Lakes Syposiu on VLSI, pp. 377 380, May 2010. [10] S. Kose and E. G Friedan, Distributed Power Network Co-Design with On-Chip Power Supplies and Decoupling Capacitors, Proceedings of the Workshop on Syste Level Interconnect Prediction, June 2011. [11] S. Kose and E. G Friedan, Distributed On-Chip Power Delivery, IEEE Journal on Eerging and Selected Topics in Circuits and Systes, Deceber 2012. [12] S. Kose and E. G Friedan, Siultaneous Co-Design of Distributed On-Chip Power Supplies and Decoupling Capacitors, Proceedings of the IEEE International SOC Conference, pp. 15 18, Septeber 2010. [13] S. Kose and E. G Friedan, Effective Resistance of a Two Layer Mesh, IEEE Transactions on Circuits and Systes II: Express Briefs, Vol. 58, No. 11, pp. 739 743, Noveber 2011. [14] K. Wang and M. Marek-Sadowska, On-Chip Power-Supply Network Optiization using Multigrid-Based Technique, IEEE Transactions on Coputer-Aided Design of Integrated Circuits and Systes, Vol. 24, No. 3, pp. 407 417, March 2005. [15] X.-D. S. Tan and C.-J. R. Shi, Fast Power/Ground Network Optiization Based on Equivalent Circuit Modeling, Proceedings of the IEEE/ACM Design Autoation Conference, pp. 550 554, June 2001. [16] M. D. Pant, P. Pant, and D. S. Wills, On-Chip Decoupling Capacitor Optiization Using Architectural Level Prediction, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, Vol. 10, No. 3, pp. 319 326, June 2002. [17] M. Popovich, E. G. Friedan, R. M. Secareanu, and O. L. Hartin, Efficient Placeent of Distributed On-Chip Decoupling Capacitors in Nanoscale ICs, Proceedings of the IEEE/ACM International Conference on Coputer-Aided Design, pp. 811 816, Noveber 2007. [18] Z. Zeng, X. Ye, Z. Feng, and P. Li, Tradeoff Analysis and Optiization of Power Delivery Networks with On-Chip Voltage Regulation, Proceedings of the IEEE/ACM Design Autoation Conference, pp. 831 836, June 2010. [19] M. S. Daskin, Network and Discrete Location: Models, Algoriths, and Applications, John Wiley and Sons, 1995. [20] Z. Drezner and H. Haacher, Facility Location: Applications and Theory, Springer, 2002. [21] R. Z. Farahani, M. S. Seifi, and N. Asgari, Multiple Criteria Facility Location Probles: A Survey, Applied Matheatical Modelling, Vol. 34, No. 7, pp. 1689 1709, October 2010. [22] S. Kose, S. Ta, S. Pinzon, B. McDerott, and E. G. Friedan, Active Filter Based Hybrid On-Chip DC-DC Converters for Point-of-Load Voltage Regulation, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, in press. [23] A. Brooke, D. Kendrick, and A. Meeraus, GAMS: A User s Guide, The Scientific Press, 1992. 761