Advanced Features of the ispmach 4000ZE Family

Similar documents
ice40 Oscillator Usage Guide

SPI Slave to PWM Generation

Reference Design RD1103

ispmach 4000ZE Family 1.8 V In-System Programmable Ultra Low Power PLDs

Panasonic Image Sensor Bridge

Power Consumption and Management for LatticeECP3 Devices

Power Estimation and Management for LatticeECP2/M Devices

Temperature Monitoring and Fan Control with Platform Manager 2

Implementing VID Function with Platform Manager 2

R Using the Virtex Delay-Locked Loop

Temperature Monitoring and Fan Control with Platform Manager 2

PE713 FPGA Based System Design

Arria V Timing Optimization Guidelines

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

April 2015 Data Sheet DS1034. Input Power Supply. Manual Reset In

All Devices Discontinued!

Lead- Free Package Options Available! Description

Programmable Clock Generator

Philips Semiconductors Programmable Logic Devices

Using ProASIC PLUS Clock Conditioning Circuits

Using Differential I/O (LVDS, Sub-LVDS) in ice40 Devices

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS

Multi-Channel Digital Up/Down Converter for WiMAX Systems

DS1075 EconOscillator/Divider

Quartus II Simulation with Verilog Designs

ULTRA-LOW POWER OSCILLATOR 1-26MHz

Quartus II Simulation with Verilog Designs

The Frequency Divider component produces an output that is the clock input divided by the specified value.

Fixed-function (FF) implementation for PSoC 3 and PSoC 5 devices

Introduction to Simulation of Verilog Designs. 1 Introduction

Simple Sigma-Delta ADC Reference Design

LP3943/LP3944 as a GPIO Expander

DS1073 3V EconOscillator/Divider

Sharing crystal with a MCU

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet

Understanding Timing in Altera CPLDs

ADS9850 Signal Generator Module

Digital Systems Design

EP220 & EP224 Classic EPLDs

FPGA Based System Design

Power Optimization in Stratix IV FPGAs

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

GAL16V8/883 High Performance E 2 CMOS PLD Generic Array Logic. Devices have been discontinued. PROGRAMMABLE AND-ARRAY (64 X 32)

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

General-Purpose OTP MCU with 14 I/O LInes

Produces a selectable output voltage that is higher than the input voltage

ATF15xx Power-On Reset Hysteresis Feature. Abstract. Features. Complex Programmable Logic Device APPLICATION NOTE

High-Frequency Programmable PECL Clock Generator

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

Parameters Symbol Min. Typ. Max. Unit Condition Frequency Range Output Frequency Range f MHz

STCL1100 STCL1120 STCL1160

GAL20V8/883 PROGRAMMABLE AND-ARRAY (64 X 40) High Performance E 2 CMOS PLD Generic Array Logic. Features. Functional Block Diagram.

Intel MAX 10 Analog to Digital Converter User Guide

Parameters Symbol Min. Typ. Max. Unit Condition Frequency Range. Frequency Stability and Aging ppm ppm ppm ppm

MIPI D-PHY Interface IP

Dual Programmable Clock Generator

Triscend E5 Support. Configurable System-on-Chip (CSoC) Triscend Development Tools Update TM

THIS SPEC IS OBSOLETE

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

Low Power Windowed Watchdog with Reset, Sleep Mode Functions. Features. Applications. Selection Table. Part Number V REF

Managing Metastability with the Quartus II Software

STCL1100 STCL1120 STCL1160

DS1801 Dual Audio Taper Potentiometer

Single-wire Signal Aggregation Reference Design

64/256/512/1K/2K/4K/8K x 9 Synchronous FIFOs

DS1065 EconOscillator/Divider

Philips Semiconductors Programmable Logic Devices

FILTER_0/Prog. Delay Combination Function Macrocells Pin 3 GPIO RC Oscillator. 2-bit LUT2_0 or DFF0. 3bit LUT3_0 or DFF2

DS1267 Dual Digital Potentiometer Chip

AN4: Application Note

NC7SZD384 1-Bit Low Power Bus Switch with Level Shifting

2014 Paper E2.1: Digital Electronics II

32K x 8 Reprogrammable Registered PROM

Module 2.B. 9S12C Multiplexed Bus Expansion. Tim Rogers 2017

SSTVN bit 1:2 SSTL_2 registered buffer for DDR

LM48821 Evaluation Board User's Guide

Demo Circuit DC550A Quick Start Guide.

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Produces a selectable output voltage that is higher than the input voltage

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

Select Devices Discontinued!

AN3: Application Note

STELLARIS ERRATA. Stellaris LM3S8962 RevA2 Errata

MEMS Oscillator, Low Power, LVCMOS, MHz to MHz

Low-Power Digital CMOS Design: A Survey

I2C Encoder. HW v1.2

Counters/Delay Generators. FILTER_0/Prog. Delay Combination Function Macrocells Pin 3. Preliminary

64/256/512/1K/2K/4K/8K x 9 Synchronous FIFOs

PT7C4502 PLL Clock Multiplier

LA-MachXO Automotive Family Data Sheet. DS1003 Version 01.5, November 2007

Two Op-Amps Three Op-Amps

ICS HIGH PERFORMANCE VCXO. Features. Description. Block Diagram DATASHEET

ML Bit Data Bus Input PLL Frequency Synthesizer

5V 128K X 8 HIGH SPEED CMOS SRAM

Produces a selectable output voltage that is higher than the input voltage

Microprocessor Supervisory Circuits ADM8690/ADM8691/ADM8692/ADM8693/ADM8694/ADM8695


Transcription:

ispmach 4000ZE Family April 2008 Technical Note TN1174 Introduction This technical note describes the architectural features of the ispmach 4000ZE ultra low power devices and how they can be implemented using Lattice's isplever Classic design software. The features discussed in this document include: 1. On-chip oscillator and timer 2. Power Guard 3. Individual I/O bus maintenance On-Chip Oscillator An internal oscillator and timer is provided for use in miscellaneous housekeeping functions such as watchdog heartbeats, digital de-glitch circuits and control state machines. The oscillator is disabled by default to save power. The oscillator and timer primitive can be used directly in schematic, or instantiated using HDL (ABEL, Verilog, or VHDL). Figure 1illustrates the functional block diagram of the oscillator and timer. Figure 1. Oscillator and Timer 128 OSCOUT DYNOSCDIS Timer 1024 1048576 TIMEROUT TIMERRES TIMER_DIV The software oscillator primitive (OSCTIMER) is shown in Figure 2. Figure 2. Software Oscillator Primitive DYNOSCDIS TIMERRES OSCTIMER OSCOUT TIMEROUT Table 1. OSCTIMER Signals Signal Name I/O Description OSCOUT Output Oscillator out (nominal frequency 5MHz +/- 30%) TIMEROUT Output Oscillator frequency divided by an attribute TIMER_DIV = (128, 1024, 1048576), default is 128. TIMERRES Input Resets the timer. DYNOSCDIS Input Disables the oscillator. Saves AC power Note: At least one of the two outputs are required 2008 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. www.latticesemi.com 1 tn1174_01.1

On-Chip Oscillator HDL Usage Below are Verilog, VHDL, and ABEL definitions of the OSCTIMER. For additional information on designs that use the oscillator, reference examples are included with the isplever Classic design tool. Verilog OSCTIMER Declaration: module osctimer(dynoscdis, TIMERRES, OSCOUT, TIMEROUT); parameter TIMER_DIV = 128 ; input DYNOSCDIS; input TIMERRES; output OSCOUT; output TIMEROUT; endmodule OSCTIMER Parameter Declaration and Instantiation: VHDL defparam I1.TIMER_DIV = 1024, osctimer I1 (.DYNOSCDIS(osc_dis),.TIMERRES(tmr_rst),.OSCOUT(osc_out),.TIMEROUT(tmr_out)); library lattice; use lattice.components.all; OSCTIMER and Attribute Declaration: component osctimer generic(timer_div : string); port( DYNOSCDIS : in std_logic; TIMERRES : in std_logic; OSCOUT : out std_logic; TIMEROUT : out std_logic); end component; OSCTIMER Instantiation: I1: OSCTIMER generic map (TIMER_DIV => 1024 ) port map ( DYNOSCDIS => osc_dis, TIMERRES => tmr_rst, OSCOUT => osc_out, TIMEROUT => tmr_out); end component; 2

ABEL library 'lattice'; OSCTIMER Declaration: XLAT_OSCTIMER(DYNOSCDIS, TIMERRES, OSCOUT, TIMEROUT, 128); OSCTIMER Instantiation: I1 OSCTIMER(osc_dis, rst, osc_out, tmr_out); On-Chip Oscillator in the Constraint Editor The isplever Classic Constraint Editor includes an OSCTIMER Attribute Sheet. This sheet gives the user the ability to view signals, connected to the oscillator and set the divider value (TIMER_DIV). Table 2. Oscillator in the Constraint Editor Type Oscillator Clock Timer Clock Oscillator Disable Timer Reset Timer Divide OSCTIMER TOUT_c TOUT2_c RST_c RST2_c 128 On-Chip Oscillator in the Report File The OSCTIMER section of the report file includes all connections and properties of the oscillator. OSCTIMER_Summary ~~~~~~~~~~~~~~~~ OSCTIMER: OSCTIMER Instance Name Dynamic Disable Signal Timer Reset Signal Oscillator Output Clock Timer Output Clock Pin/Node I1 osc_rst osc_rst2 mfb A-15 TOUT_c mfb G-15 TOUT2_c Oscillator Output Clock Frequency 5.0000 MHz Timer Output Clock Frequency 39.0625 KHz Timer Divider 128 Power Guard During the system inactive state, ignoring the CPLD array logic from external input signal changes based on a system inactive signal is an excellent way to reduce power and increase battery life. This feature is called Power Guard in the ispmach 4000ZE family. When all the inputs have Power Guard turned on the power consumption of the device is close to standby current of the device. The Power Guard can be used directly in schematic entry, or instantiated using HDL (ABEL, Verilog or VHDL). A bus with Power Guard can be generated using the Module Manager. Figure 3 shows a detailed architectural view of the I/O cell including the Power Guard block. 3

Figure 3. ispmach 4000ZE I/O Cell GOE 0 GOE 1 GOE 2 GOE 3 From ORP VCC I/O Bus Maintenance VCCO From ORP Power Guard To Macrocell To GRP 0 1 Power Guard Disable Fuse (PGDF) Block Input Enable (BIE) (From Block PT) The software Power Guard primitive is shown in Figure 4. Figure 4. Power Guard Primitive Power Guard D E 0 1 Q Table 3. Power Guard Signals Signal Name I/O Description D E INPUT INPUT Q OUTPUT Signal coming from an input or I/O pad. This pin cannot have any fanout and connects only between the pad and the Power Guard. The ENABLE input that is tied to BIE (Block Input Enable). The BIE signal is driven directly from an I/O or through logic such as an OE signal. This is the output of the Power Guard that drives toward the Global Routing Pool. When E=1, the output Q is driven by the input D. 4

Power Guard HDL Usage Below are Verilog, VHDL, and ABEL definitions of the Power Guard option. For designs that use Power Guard, refer to the examples included with the isplever Classic design tool. Verilog Power Guard Declaration: module PG_example(D, E, Q); input D; input E; output Q; endmodule Power Guard Instantiation: VHDL PG I1 (.D(Input_sig),.E(Enable_sig),.Q(Temp_sig)); library lattice; use lattice.components.all; Power Guard Declaration: component PG port( D : in std_logic; E : in std_logic; Q : out std_logic); end component; Power Guard Instantiation: I1: PG port map ( D => Input_sig, E => Enable_sig, Q => Temp_sig); ABEL "library 'lattice'; Power Guard Declaration: XLAT_PG(D, E, Q); OSCTIMER Instantiation: I1 PG(in0, ie, d0); 5

Power Guard Module Manager Usage The Module Manger can be used to generate a bus of Power Guard primitives, which can be instantiated in the target design. The only option is to specify the width of the Power Guard bus. Figure 5 is a screen shot of the Power Guard Module Manager GUI. Figure 5. Power Guard Module Manager GUI Power Guard Usage Rules There are several important guidelines to keep in mind when using Power Guard: 1. Within a block, the Power Guard enables must be connected to the same. 2. If the BIE signal feeds itself, the Fitter will produce an error. 3. If the BIE signal is generated via internal logic and the source of the logic is the Power Guard output, then the Fitter will produce a warning. Power Guard in the Report File I/Os which have Power Guard enabled can be identified in the Fitter Report by looking at the Power Guard Enable column. The signal in the Power Guard Enable is the logical net name which drives the Power Guard Enable port. Below is an example of the Fitter Report that includes the Power Guard information in the right-hand column: Pinout_Listing ~~~~~~~~~~~~~~ Pin Bank GLB Assigned Signal Signal PG Pin No Type Number Pad Pin I/O Type Type Name Enable ----------------------------------------------------------------------------- 1 TDI - 2 I_O/OE 0 A5 LVCMOS18 Input a_4_ PG_E_node 3 I_O 0 A6 LVCMOS18 Input rst 4 I_O/OE 0 A7 LVCMOS18 Input a_5_ PG_E_node 5 GNDIO0-6 VCCIO0 - Individual I/O Bus Maintenance The ispmach4000ze I/Os have individual programmable I/O bus maintenance options. The four options for the I/O are programmable pull-up, pull-down, bus keeper and off. The I/O bus maintenance can be set using the isplever Constraints Editor or using the HDL attribute PULL set to either UP, DOWN, HOLD, or OFF. 6

Technical Support Assistance Hotline: 1-800-LATTICE (North America) +1-503-268-8001 (Outside North America) e-mail: techsupport@latticesemi.com Internet: www.latticesemi.com Revision History Date Version Change Summary April 2008 01.0 Initial release. April 2008 01.1 Updated On-board Oscillator and Timer diagram. Replaced I/O Termination with Individual I/O Bus Maintenance. 7