DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE

Similar documents
Comparison of Power Dissipation in inverter using SVL Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

A Survey of the Low Power Design Techniques at the Circuit Level

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Ultra Low Power VLSI Design: A Review

Leakage Power Reduction in CMOS VLSI

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

UNIT-1 Fundamentals of Low Power VLSI Design

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

Leakage Power Reduction by Using Sleep Methods

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

2-Bit Magnitude Comparator Design Using Different Logic Styles

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Study of Outpouring Power Diminution Technique in CMOS Circuits

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Design and Analysis of Low Power Level Shifter in IC Applications

Simultaneous Reduction of Dynamic and Static Power in Scan Structures

INDEX. Sr. No. Title Author Subject Page No. 1 Current Issues In Indian Capital Market Bhavin S. Shah Accountancy 1-3

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Low Power Adiabatic Logic Design

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

VLSI Designed Low Power Based DPDT Switch

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Leakage Current Analysis

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

IJMIE Volume 2, Issue 3 ISSN:

ECE/CoE 0132: FETs and Gates

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

Power dissipation in CMOS

Design cycle for MEMS

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

EEC 118 Lecture #12: Dynamic Logic

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

Implementation of dual stack technique for reducing leakage and dynamic power

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Keywords: Low Power Consumption Design, Leakage power reduction, Integrated Circuits, Very Large Scale Integration.

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Contents 1 Introduction 2 MOS Fabrication Technology

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

IMPLEMENTATION OF LOW POWER AND LOW ENERGY SYNCHRONOUS SAPT LOGIC

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Implementation of Full Adder using Cmos Logic

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Design of Low Power Vlsi Circuits Using Cascode Logic Style

UNIT-III GATE LEVEL DESIGN

Low Power Design in VLSI

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Low Power 6-Transistor Latch Design for Portable Devices

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Digital Electronics Part II - Circuits

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Analysis & Implementation of Low Power MTCMOS 10T Full Adder Circuit in Nano Scale

Transcription:

DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE Mr.Om Prakash 1, Dr.B.S.Rai 2, Dr.Arun Kumar 3 1 Assistant Professor, Deptt.Electronics & Comm. IIMT IETMeerut, U.P. (India). 2 HOD & Professor Deptt.Electronics & Comm. M.M.M.Engg.College, Gorakhpur, U.P. (India). 3 Professors and Dean of Students Deptt.Electronics & Comm. IFTM University Moradabad, U.P. (India) ABSTRACT Dynamic domino logic circuits are widely used in modern digital VLSI circuits. These dynamic circuits are often favored in high performance designs because of the speed advantage over static CMOS logic circuits. Dynamic CMOS Circuits, featuring a high speed operation are used in high performance VLSI designs. The main drawbacks of dynamic logic are a lack of design automation, a decreased tolerance to noise and increased power Consumption. In this work, domino gate is designed with various body biasing and high performance circuit was specified. Keywords: CMOS, Conventional Body Bias, Domino Logic, Dynamic Power, Substrate Biasing. I INTRODUCTION VLSI designers have different options to reduce the power dissipation in the various design stages. It is important to introduce low-power design techniques and to reduce the package size during the circuit normal mode of operation. More power consumption also reduces the battery life of the devices. Therefore reducing power dissipation during operation has become a critical objective in today s VLSI circuit designs. So special cooling equipment is necessary to remove excessive heat produced during circuit operation. Power consumption in CMOS circuits can be dynamic or static. Dynamic power dissipation takes place due to switching activities because of short circuits current and charging and discharging of load capacitances. Static power consumption is another type of power dissipation in CMOS circuits. Leakage currents with sub-threshold source-to-drain leakage, reverse bias junction band-to-band tunneling, gate oxide tunneling, and other current drawn continuously from the power supply cause static power dissipation [7]. To reduce dynamic power dissipation it is necessary to reduce the supply voltage of the circuit, reduction of supply voltage after a certain limit affects the performance of the circuit, to maintain circuit performance of the circuit it is necessary to decrease the threshold voltage as well, but it leads to leakage power dissipation. Leakage power can be reduced by increasing the threshold voltage [5] IN this paper to reduce the voltage applying to the load CIRCUIT; we suggest the use of AVL (Adaptive Voltage Level) circuit technique. II AVL TECHNIQUE AVL circuit contained one p-mosfet and two series connected n-mosfets, which will reduce the voltage applying to the load circuit. AVL circuit is controlled by sleep (slp) control signal [1]. When sleep signal is low, the p-mosfet is on, while

series connected n-mosfets are off. During this operation, we get the full voltage out of the AVL circuit. When sleep signal make transition from low to high, this will turn-on series connected n-mosfets, and turn-off p-mosfet, Thus, the drain-tosource voltage (Vdsn), of the off n-mos in load circuit (domino NAND gate) can be expressed as Vdsn =VDD 2v Where v is a voltage drop of the series connected single n-mosfet and Vdsn can be changed by changing the number of series connected n-mosfets. If Vdsn decreases this will increase the barrier height of the off n-mos [6], therefore it will decrease the drain induced- barrier-lowering (DIBL) effect and, consequently, increase Vthn. This result in a decrease in the sub threshold current of the n-mos, therefore the leakage current through the gate decreases. AVL circuit is controlled by sleep control signal. The advantage of using AVL circuit is that the load circuits can operate quickly when they are in active mode due to the increase in drain-source current as the AVL circuit supplies the maximum drain-source voltage Vds to the on-mosfets through on-switches. On the other hand, during standby mode, it supplies a slightly lower voltage through the weakly-on switches [2]. Hence the sub-threshold leakage current of the off-mos transistors decrease and the standby power gets reduced. It also produces high noise immunity. When we applied voltage to the substrate of a MOSFET it affects the threshold voltage of a MOSFET as well. The voltage difference between the source and the substrate, VBS also affects the width of the depletion layer and due to changes in the charge in depletion layer voltage across the oxide also get changed. Therefore the expression for the threshold voltage is given by: The threshold difference due to an applied source-substrate voltage can therefore be expressed by: Where is the body effect parameter given by? Substrate biasing provides an effective circuit-level technique for varying threshold voltage and to enhance the performance of the circuit. This paper gives emphasis on low power design as well as improved noise margin for domino NAND gate technique. Among different dynamic logic circuit techniques, domino logic technique is mostly used because it provides less delay and its area consideration, but it has less tolerance to noise and its static power consumption is high. So in this paper we have applied AVL circuit technique and body bias technique to overcome both of these problems

III STANDARD DOMINO NAND GATE A standard domino NAND gate is as shown in Figure 1. A standard Domino NAND gate consists of one p-type transistor and an n-type dynamic logic block. During pre-charge phase the output node of the dynamic CMOS stage is pre-charged to high logic level [4]. During evaluation phase, the output node of the dynamic CMOS stage is either discharged to a low level or it remains high, means that, the output node may be selectively discharged through the n-type logic block depending upon whether there is a path exist to the GND or not. It depends upon the inputs of the NMOS logic block. If a path to ground is not formed during the evaluation phase, means there is no conducting path exist to the ground, we get the high logic level at the output. If inputs to the n-type logic blocks are such that it makes a conducting path to the ground, output will be low. IV BODY BIAS NAND GATE Figure 1.Domino NAND Gate Domino logic gates are frequently employed in high performance circuits for high speed and area efficiency. As supply voltage is reduced, delay increases, unless threshold voltage Vth is also decreased. Substrate biasing provides an effective circuit-level technique for varying threshold voltage. Here substrate of NMOS is connected to the clock and PMOS is connected to Vdd, which increases the threshold voltage that in turn reduces the leakage current Figure 2 Body Bias NAND Gate

4.1 Parameters Observation Observation parameters of domino AND Gate and Body Bias NAND Gate Technique Dynamic power dissipation In mili wtts Leakage Power in pico watts Evaluation Delay in Pico sec Noise margin in volts (NMH) Noise margin In volts (NML) Domino NAND gate 0.087 140.73 25.99 1 0.5 Body Bias NAND gate 0.081 176.95 25.81 1.2 0.85 200 180 160 140 120 Dynamic power dissipation In mili wtts Leakage Power in pico watts 100 80 Evaluation Delay in Pico sec 60 40 20 Noise margin in volts (NMH) 0 Domino NAND gate Body Bias NAND gate Figure 3.Comparison of power consumption, delay and noise margin V SIMULATION RESULTS Figure 4.Output of Domino NAND GATE

Figure 5.Output of Body bias NAND GATE VI CONCLUSION In this paper we have designed Domino NAND gate and body bias NAND gate Simulation results shows that our proposed circuit technique consumes less dynamic as well as static power than other techniques. The other benefit of proposed technique is its high noise immunity as compare to other technique. In this paper we can say that our proposed NAND gate consumes less power and gives high noise margin. REFERENCES [1]. H. Mangalam and K. Gunavathi, Domino Logic Circuit with Reduced Leakage and Improved Noise Margin, International Journal of Applied Engineering Research ISSN 0973-4562 Volume 2, Number 4 (2007), pp. 585 593. [2]. Suman Nehra, K.G.Sharma, Tripti Sharma and Prof.B.P.Singh, High Performance VLSI Design Using Body Biasing in Domino Logic Circuits -International Journal of Technology And Engineering System(IJTES):Jan March 2011- Vol.2.No.2. [3]. Sreenivasa Rao.Ijjada, Ayyanna.G, G.Sekhar Reddy, Dr.V.Malleswara Performance of different cmos logic styles for low power and high speed, International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.2, June 2011. [4]. Kang, S. and Y. Leblebici. CMOS Digital Integrated Circuits: Analysis and Design. The McGraw-Hill Companies, Inc.: New York. 1996, pp 383-384. [5]. Gholamreza Karimi1, Adel Alimoradi2 Multi-Purpose Technique to Decrease Leakage Power in VLSI Circuits Canadian Journal on Electrical and Electronics Engineering Vol. 2, No. 3, March 2011. [6]. Tadayoshi Enomoto, Yoshinori Oka, Hiroaki Shikano, and Tomochika Harada Chuo University, Faculty of Science and Engineering, Tokyo, Japan enomoto@ise.chuo-u.ac.jp A Self-Controllable-Voltage-Level (SVL) Circuit for Low-Power, High-Speed CMOS Circuits, ESSCIRC 2002,pp 412-414.

[7]. Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, and Zainalabedin Navabi, Electrical and Computer Engineering Department Faculty of Tehran, Iran Simultaneous Reduction of Dynamic and Static Power in Scan Structures. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE 05)- 2005. [8]. Pushpa Raikwal, V. Neema &S. Katiyal Low power with improved noise margin for domino CMOS NAND gate International Journal Of Computational Engineering Research / ISSN: 2250 3005.