Speed Control of BLDC Motor Using FPGA

Similar documents
Speed control of sensorless BLDC motor with two side chopping PWM

Simulation of Solar Powered PMBLDC Motor Drive

Digital PWM Techniques and Commutation for Brushless DC Motor Control Applications: Review

UG Student, Department of Electrical Engineering, Gurunanak Institute of Engineering & Technology, Nagpur

Job Sheet 2 Servo Control

Analysis of an Economical BLDC Drive System

SPEED CONTROL OF BRUSHLES DC MOTOR

CHAPTER 6 THREE-LEVEL INVERTER WITH LC FILTER

TRACK VOLTAGE APPROACH USING CONVENTIONAL PI AND FUZZY LOGIC CONTROLLER FOR PERFORMANCE COMPARISON OF BLDC MOTOR DRIVE SYSTEM FED BY CUK CONVERTER

CHAPTER-III MODELING AND IMPLEMENTATION OF PMBLDC MOTOR DRIVE

A Comparative Study of Sinusoidal PWM and Space Vector PWM of a Vector Controlled BLDC Motor

Simulation and Implementation of FPGA based three phase BLDC drive for Electric Vehicles

A CSC Converter fed Sensorless BLDC Motor Drive

Modeling and Simulation Analysis of Eleven Phase Brushless DC Motor

Brushless DC Motor Model Incorporating Fuzzy Controller for Prosthetic Hand Application

Digital Control of Permanent Magnet Synchronous Motor

CURRENT FOLLOWER APPROACH BASED PI AND FUZZY LOGIC CONTROLLERS FOR BLDC MOTOR DRIVE SYSTEM FED FROM CUK CONVERTER

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

Brushless DC Motor Drive using Modified Converter with Minimum Current Algorithm

SPEED CONTROL OF SENSORLESS BLDC MOTOR WITH FIELD ORIENTED CONTROL

Microcontroller Based Closed Loop Speed and Position Control of DC Motor

Efficiency Optimized Brushless DC Motor Drive. based on Input Current Harmonic Elimination

Renewable Energy Based Interleaved Boost Converter

Controlling of Permanent Magnet Brushless DC Motor using Instrumentation Technique

Volume 1, Number 1, 2015 Pages Jordan Journal of Electrical Engineering ISSN (Print): , ISSN (Online):

Sharmila Kumari.M, Sumathi.V, Vivekanandan S, Shobana S

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS

Page ENSC387 - Introduction to Electro-Mechanical Sensors and Actuators: Simon Fraser University Engineering Science

Step vs. Servo Selecting the Best

Fuzzy Logic Based Speed Control of BLDC Motor

A COMPARISON STUDY OF THE COMMUTATION METHODS FOR THE THREE-PHASE PERMANENT MAGNET BRUSHLESS DC MOTOR

Reduction of Harmonics and Torque Ripples of BLDC Motor by Cascaded H-Bridge Multi Level Inverter Using Current and Speed Control Techniques

Three Phase Induction Motor Drive Using Single Phase Inverter and Constant V/F method

Sensorless Control of BLDC Motor Drive Fed by Isolated DC-DC Converter

Design of double loop-locked system for brush-less DC motor based on DSP

CHAPTER 2 STATE SPACE MODEL OF BLDC MOTOR

Reduction of Torque Ripple in Trapezoidal PMSM using Multilevel Inverter

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive

An Adjustable-Speed PFC Bridgeless Single Switch SEPIC Converter-Fed BLDC Motor

Design of A Closed Loop Speed Control For BLDC Motor

Open Loop Speed Control of Brushless DC Motor

ISSN Vol.05,Issue.01, January-2017, Pages:

Swinburne Research Bank

CHAPTER 6 CURRENT REGULATED PWM SCHEME BASED FOUR- SWITCH THREE-PHASE BRUSHLESS DC MOTOR DRIVE

Simulation Study of MOSFET Based Drive Circuit Design of Sensorless BLDC Motor for Space Vehicle

International Journal of Science, Engineering and Management (IJSEM) Vol 3, Issue 12, December 2018 Self-Tuned PID Based Speed Control of BLDC Motor

PROPORTIONAL INTEGRAL &DERIVATIVE CONTROLLER FOR BLDC MOTOR

Modeling & Simulation of PMSM Drives with Fuzzy Logic Controller

SPEED CONTROL OF BRUSHLESS DC MOTOR USING FUZZY BASED CONTROLLERS

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Fuzzy Logic Controller Based Direct Torque Control of PMBLDC Motor

Low Cost Power Converter with Improved Performance for Switched Reluctance Motor Drives

Sensorless Drive for High-Speed Brushless DC Motor Based on the Virtual Neutral Voltage

PWM, ALT, HALT, HAST.

BLDC Motor Drive with Power Factor Correction Using PWM Rectifier

L E C T U R E R, E L E C T R I C A L A N D M I C R O E L E C T R O N I C E N G I N E E R I N G

Enhanced Performance of Multilevel Inverter Fed Induction Motor Drive

Simulation of Sensorless Digital Control of BLDC Motor Based on Zero Cross Detection

A Review: Sensorless Control of Brushless DC Motor

An Investigation of Power Converters Fed BLDC Motor for Adjustable Speed

ABSTRACT I. INTRODUCTION

Control Strategies for BLDC Motor

Cuk Converter Fed BLDC Motor with a Sensorless Control Method

A NEW C-DUMP CONVERTER WITH POWER FACTOR CORRECTION FEATURE FOR BLDC DRIVE

Implementation of Brushless DC motor speed control on STM32F407 Cortex M4

Speed Control Of Transformer Cooler Control By Using PWM

SIMULATION AND IMPLEMENTATION OF CURRENT CONTROL OF BLDC MOTOR BASED ON A COMMON DC SIGNAL

A Brushless DC Motor Speed Control By Fuzzy PID Controller

A NOVEL SWITCHING PATTERN OF CASCADED MULTILEVEL INVERTERS FED BLDC DRIVE USING DIFFERENT MODULATION SCHEMES

South Asian Journal of Engineering and Technology Vol.3, No.3 (2017)

PID Implementation on FPGA for Motion Control in DC Motor Using VHDL

BLDC Motor Speed Control and PFC Using Isolated Zeta Converter

Fully Integrated FPGA-based configurable Motor Control

Designing An Efficient Three Phase Brushless Dc Motor Fuzzy Control Systems (BLDCM)

A BRUSHLESS DC MOTOR DRIVE WITH POWER FACTOR CORRECTION USING ISOLATED ZETA CONVERTER

Speed Control of BLDC Motor-A Fuzzy Logic Approach

ANALYSIS OF POWER QUALITY IMPROVEMENT OF BLDC MOTOR DRIVE USING CUK CONVERTER OPERATING IN DISCONTINUOUS CONDUCTION MODE

Implementation Of Brushless DC Motor Using FPGA Interface

Analog Devices: High Efficiency, Low Cost, Sensorless Motor Control.

Speed Control of Brushless DC Motor Using Fuzzy Based Controllers

VIENNA RECTIFIER FED BLDC MOTOR

ELECTRONIC CONTROL OF A.C. MOTORS

Design and Implementation of Modern Digital Controller for DC-DC Converters

CHAPTER 2 CURRENT SOURCE INVERTER FOR IM CONTROL

UNIT-III STATOR SIDE CONTROLLED INDUCTION MOTOR DRIVE

PWM Control of Asymmetrical Converter Fed Switched Reluctance Motor Drive

CHAPTER 6 BRIDGELESS PFC CUK CONVERTER FED PMBLDC MOTOR

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

Implementation Of Bl-Luo Converter Using FPGA

International Journal of Intellectual Advancements and Research in Engineering Computations

Development of Variable Speed Drive for Single Phase Induction Motor Based on Frequency Control

Vienna Rectifier Fed BLDC Motor

Application Note. 3-Phase Brushless DC Motor Control with Hall Sensors AN-CM-244

Simulation of Speed Control of Induction Motor with DTC Scheme Patel Divyaben Lalitbhai 1 Prof. C. A. Patel 2 Mr. B. R. Nanecha 3

Improved Power Quality Bridgeless Isolated Cuk Converter Fed BLDC Motor Drive

Application Note, V1.0, Oct 2006 AP08019 XC866. Sensorless Brushless DC Motor Control Using Infineon 8-bit XC866 Microcontroller.

A NOVEL CONVERTER TOPOLOGY FOR SRM

Soft Switching with Cascaded Transformers to Drive the PMDC Motor

Power Factor Correction for Chopper Fed BLDC Motor

3. What is the difference between Switched Reluctance motor and variable reluctance stepper motor?(may12)

Transcription:

Speed Control of BLDC Motor Using FPGA Jisha Kuruvilla 1, Basil George 2, Deepu K 3, Gokul P.T 4, Mathew Jose 5 Assistant Professor, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam, Kerala, India 1 UG Student, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam, Kerala, India 2 UG Student, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam, Kerala, India 3 UG Student, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam, Kerala, India 4 UG Student, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam, Kerala, India 5 ABSTRACT: Permanent Magnet Brushless DC machines have found immerse applications in automobile, automation, consumer electronics, medical and industrial applications due to their high efficiency, long operating life ratio of torque delivered to the size and fast dynamic response. In a brushless motor, the rotor incorporates the magnets, and the stator contains the windings. Commutation is implemented electronically with a drive amplifier that uses semiconductor switches to change current in the windings based on rotor position feedback. Commutation is implemented on FPGA as it provides greater flexibility and higher resources for implementing control algorithms. For the implementation of digital controller, we chose Xilinx Spartan 6 FPGA board. The digital controller algorithm is written using VHDL and is dumped on the FPGA. For this purpose we use Xilinx ISE and impact tools. FPGA receives hall sensor output from BLDC motor and generates the gate pulses which drive the IGBT switches. Actual speed of the motor is compared with the reference speed given and the error signal is processed in a pi controller to obtain the required pulse width. As the motor rotates, hall sensor signals are produced in accordance with rotor position. Three phase voltages are produced from the gates of IGBT switches after they receive the decoded signals. These voltages are fed as input to the motor and it rotates at required speed. KEYWORDS: BLDC Motor, PWM, FPGA, VHDL, Speed Control. I.INTRODUCTION BLDC motors have received significant attention due to their high reliability, robust operation and increased efficiency in industrial, commercial and residential applications. For the same input power, a BLDC motor converts more electrical power into mechanical power than a brushed motor due to the absence of friction due to brushes. It uses permanent magnet as rotor and an electronic controller is required to continuously switch the phase of the winding which will keep the motor spinning. For accomplishing it a feedback sensor and power electronics switching circuit is required. Control strategies for three-phase BLDC machines are typically implemented using a power converter. To generate appropriate control signals to perform the desired function, a special-purpose processor or a programmable logic device is usually necessary. Even though special purpose controllers are developing faster, they should be simpler, efficient and cost effective. The Field Programmable Gate Array (FPGA) features are growing vertically every day. Latest powerful FPGA devices could be used in BLDC drives. The speed of the motor is directly proportional to the applied voltage. By varying the average voltage across the windings, the speed can be altered. Since commutation is provided with the help of electronic switches, the duty cycle is controlled by using pwm signals. II.LITERATURE SURVEY R. Shanmugasundram et. al presents design and digital implementation of a fuzzy controller for achieving improved performance of Brushless dc (BLDC) servomotor drive. The performance of fuzzy and PID controller-based BLDC servomotor drives is investigated under different operating conditions such as change in reference speed, parameter Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2488

variations, load disturbance, etc. After simulating and comparing dynamic performance of PI and fuzzy based controller it is proved that fuzzy logic controller gives better dynamic response and it is robust. T.Hemanand et. al presented the speed control of brushless dc motor drive employing hard chopping PWM technique using TMS320F240 digital signal processor. They modeled and designed the hardware and verified the results. K. Giridharan et. al presented FPGA based digital controllers for BLDC motor. They verified that digital controller works well with real as well as virtual motor. Virtual motor can thereby be utilized for testing the algorithms before implementing on real motors. FPGA gives us flexibility of implementing different algorithms quickly and without complications. Moreover FPGAs are more powerful than microcontrollers and can implement complex algorithms with relative ease. III.BLDC MOTOR BLDC motors have many advantages over brushed DC motors and induction motors. A few of these are: Better speed versus torque characteristics Faster dynamic response High efficiency Long operating life Noiseless operation Higher speed ranges BLDC motor consists of stator and rotor. Stator is consists of stacked steel laminations with winding placed in slots. Most BLDC motors have three stator windings connected in star fashion. Rotor is made up of permanent magnet and it can be of two to eight pole pairs with alternate north and south. Permanent magnetic material for rotor is chosen based on magnetic field density required in rotor. Hall sensors working on Hall Effect principle are used for rotor position detection depending on hall voltage generated in them as rotor pole passes nearer to hall sensor. Hall sensors are embedded into stator in BLDC motor as shown in fig 1. According to sequence of hall sensors stator windings are energized in sequence. Rotor Magnet Fig. 1: BLDC Motor with Hall Sensors Each commutation sequence has one of winding energized to positive power, the second winding energized to negative and the third is in a non-energized condition. General circuit of BLDC motor with power converter is shown in fig 2. The speed and torque of motor depend on strength of magnetic field generated by energized windings of motor, which depend on current through them. Therefore adjusting the stator winding voltage will change motor speed. Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2489

Fig. 2: 3-phase Equivalent Circuit of BLDC motor The analysis of BLDC motor is represented by following equations: where, v a, v b and v c are phase voltages, i a, i b and i c are the phase currents, e a, e b and e c are phase back-emf waveforms. R is phase resistance. L is self-inductance of each phase and M is mutual inductance between any two phases. Electromagnetic torque can be given as: T e = (e a i a + e b i b + e c i c ) / ω r where ω r is mechanical speed of the rotor. The electrical speed ω e is related to mechanical speed for a motor with P number of poles is: ω e = (p/2) ω r IV.SPEED CONTROL ALGORITHM The standard AC power supply is converted to a DC by using a 3-phase diode bridge rectifier. A voltage source Inverter is used to convert the DC voltage to the controlled AC voltage. The output of Inverter is fed to Brushless DC motor. VHDL program is used in Xilinx software to generate the controlled PWM pulses at different duty ratio for Inverter to drive the Brushless DC Motor at different speed. Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2490

PWM signals are generated from the Spartan-6 FPGA processor by writing VHDL program to control the inverter switches. The switching signal parameters namely switching frequency, the duty ratio and the number of pulses are easily controlled via VHDL programming language. The below Tabular column shows the switching sequence of IGBTs and the Hall Sensor Outputs. Fig. 3: Switching Sequence Fig 4: Block diagram As shown in fig. 4, basic speed control system of BLDC motor consist of four blocks as PI controller, inverter, BLDC motor and speed measurement unit. Speed is measured using hall sensors which are embedded on stator. Measured and reference speed are given to controller where difference between speeds is taken as an error. Controller works on that error and gives output to inverter block which decides which winding of BLDC motor is getting on. As per sequence of inverter gates windings of BLDC motor are getting on or off and hence field changes takes place and according to that rotor position will change. In this way speed can be controlled. Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2491

Fig 4: Flow Chart V.SIMULATION RESULTS Logic simulation in FPGA design environment plays a very vital role in verifying the functionality of the designs. Simulation is a powerful way to test the system on a computer, before it is turned into hardware. Simulators let designer to check the values of signals inside the system. VHDL simulation Logic simulation in FPGA design environment plays a very vital role in verifying the functionality of the designs. Simulation is a powerful way to test the system on a computer, before it is turned into hardware. The ISE design software allows creating a test bench and simulating the design. The complete design is simulated using Isim Simulation tool, which has precompiled libraries for all Xilinx FPGAs. The inputs like Clock, Reset, Switch data and sensor are defined and the output is observed in the simulation window. Once all the signals are taken into the waveform window, the simulation is run for 50 ms. The simulation result is shown in figure. Angle Estimation using Hall Sensor State For proper commutation, the absolute rotor position information is very crucial in order to produce the synchronized voltage waveforms to the motor. To get fine position information, the motors will be equipped with Encoders or Resolvers, but these sensors add cost to the system. For low-cost simple solutions, the motors which have Hall sensors can be used. The design uses a motor that has four pole pairs. For every electrical cycle there are six Hall state changes and thus provides 60 degrees of precision. Each state corresponds to an electrical angle and has six angle steps. Speed Calculation using Hall State The Hall state changes provide the information about the position of the motor. For every electrical cycle there will be six Hall state changes. If the time taken between the Hall state changes is available then the speed can be computed. A moving average technique is used to calculate the speed. Using this technique we can take the average of the six previously calculated speed values. ActualSpeed(n)= (Speed(n)+Speed(n-1)+...+Speed(n-5))/6 Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2492

Fig 5: Simulation Result VI.CONCLUSION FPGA based implementation of PWM control of brushless DC motor was carried out in simulation and real time experiment. BLDC have better speed versus torque characteristics, high dynamic response, high efficiency, long operating life, noiseless operation, higher speed ranges, rugged construction and so on. Also, torque delivered to the motor size is higher, making it useful in applications where space and weight are critical factors. The PI control of BLDC motor is successfully implemented on the FPGA and its performance is tested on a BLDC motor speed control system for real time control. According to the experiment done it is observed that, in the simulation, when the set speed is changed, the motor speed locks to the set speed, when the current error becomes zero. In brief, the role of FPGA, in measurement and control point of view, is to acquire the data from sensor through analog to digital converter, do the processing on the acquired data and then generate control signals to the actuator, which intern controls the parameter being measured. FPGAs ensure ease of design, lower development costs, more product revenue, and the opportunity to speed products to market. Building PID controllers on FPGAs improves speed, accuracy, power-efficient, compactness and cost effectiveness over other digital implementation techniques. REFERENCES [1] K. Giridharan, Gautham.R, "FPGA Based Digital Controllers for BLDC Motors", IJERA, Vol. 3, Issue 2, March -April 2013, pp.1615-1619. [2] M.V. Ramesh, J. Amarnath, S. Kamakshaiah, G. S. Rao Speed Control of Brushless DC Motor, ARPN journal of engineering and applied science, vol. 6, No. 09, pp. 55-62, Sept. 2011. [3] Pravat K.Singh and Pankaj Rai, An ANN Based X-PC Target Controller for Speed Control of Permanent Magnet Brushless DC Motor, Proceedings of the IEEE Conference on Control Applications Toronto, Canada, August 28-31, 2005. [4] K. Alfoni Jose and S. M. Padmaja, Implementation of FPGA based 3-Phase Inverter FED BLDC Motor, International Journal of Applied Sciences, Engineering and Technology Vol. 01, No. 01, Jan-Dec 2012. [5] www.freescale.com/files/product/doc/an1914.pdf [6] www.microchip.com/downloads/en/appnotes/00885a.pdf Copyright to IJAREEIE 10.15662/ijareeie.2015.0404072 2493