Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter

Similar documents
inside Attolight Cathodoluminescence goes from the lab to the fab

Add CLUE to your SEM. High-efficiency CL signal-collection. Designed for your SEM and application. Maintains original SEM functionality

EUV Substrate and Blank Inspection

Improving the Collection Efficiency of Raman Scattering

Leica - 3 rd Generation Airborne Digital Sensors Features / Benefits for Remote Sensing & Environmental Applications

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

PICO MASTER 200. UV direct laser writer for maskless lithography

Integrated into Nanowire Waveguides

771 Series LASER SPECTRUM ANALYZER. The Power of Precision in Spectral Analysis. It's Our Business to be Exact! bristol-inst.com

Observational Astronomy

Nmark AGV-HP. High Accuracy, Thermally Stable Galvo Scanner

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Ion Beam Lithography next generation nanofabrication

The Importance of Wavelengths on Optical Designs

True 2 ½ D Solder Paste Inspection

Optimizing throughput with Machine Vision Lighting. Whitepaper

Major Fabrication Steps in MOS Process Flow

REMOTE SENSING INTERPRETATION

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

CHAPTER TWO METALLOGRAPHY & MICROSCOPY

Mass transfer with elastomer stamps for microled displays.

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

QE65000 Spectrometer. Scientific-Grade Spectroscopy in a Small Footprint. now with. Spectrometers

Evaluation of laser-based active thermography for the inspection of optoelectronic devices

Bandpass Edge Dichroic Notch & More

Hyper-spectral, UHD imaging NANO-SAT formations or HAPS to detect, identify, geolocate and track; CBRN gases, fuel vapors and other substances

Features. Applications. Optional Features

LITE /LAB /SCAN /INLINE:

Production of HPDs for the LHCb RICH Detectors

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

MS260i 1/4 M IMAGING SPECTROGRAPHS

SUPPLEMENTARY INFORMATION

The Hyperspectral UAV (HyUAV) a novel UAV-based spectroscopy tool for environmental monitoring

LMT F14. Cut in Three Dimensions. The Rowiak Laser Microtome: 3-D Cutting and Imaging

CHAPTER-V SUMMARY AND CONCLUSIONS

Phase One 190MP Aerial System

High Intensity LumiBright LE LED Light Engine

TriVista. Universal Raman Solution

Aurora II Integra OPO Integrated Nd:YAG Pumped Type II BBO OPO

FULLY REFLECTIVE SOLAR SIMULATORS SS0.5K / SS1.0K / SS1.6K / SS2.5K

Seishi IKAMI* Takashi KOBAYASHI** Yasutake TANAKA* and Akira YAMAGUCHI* Abstract. 2. System configuration. 1. Introduction

Terahertz Spectroscopic/ Imaging Analysis Systems

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Oriel Cornerstone 130 1/8 m Monochromator

Material analysis by infrared mapping: A case study using a multilayer

Microstructured Air Cavities as High-Index-Contrast Substrates with

PRODUCT OVERVIEW FOR THE. Corona 350 II FLIR SYSTEMS POLYTECH AB

1/8 m GRATING MONOCHROMATOR

GUIDE TO SELECTING HYPERSPECTRAL INSTRUMENTS

In-Vivo IMAGING SYSTEMS. A complete line of high resolution optical & X-ray systems for pre-clinical imaging

Dark Field Technologies

Maya2000 Pro Spectrometer

Pocket Pumped Image Analysis Ivan Kotov Brookhaven National Laboratory

Functions of the SEM subsystems

SPECIM, SPECTRAL IMAGING LTD.

Data sheet for TDS 10XX system THz Time Domain Spectrometer TDS 10XX

Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers

Oriel MS260i TM 1/4 m Imaging Spectrograph

Chemistry 524--"Hour Exam"--Keiderling Mar. 19, pm SES

Introduction to Remote Sensing

Advanced Packaging Solutions

Products - Microarray Scanners - Laser Scanners - InnoScan 900 Series and MAPIX Software

Laser tests of Wide Band Gap power devices. Using Two photon absorption process

Review. Optical Lithography. LpR

SR-5000N design: spectroradiometer's new performance improvements in FOV response uniformity (flatness) scan speed and other important features

New Developments in TDLAS NH3 Monitoring

Nmark AGV-HPO. High Accuracy, Open Frame, Thermally Stable Galvo Scanner. Highest accuracy scanner available attains singledigit,

Characterization of Surface Structures using THz Radar Techniques with Spatial Beam Filtering and Out-of-Focus Detection

Specifications. Offers the best spatial resolution for multi-stripe spectroscopy. Provides the user the choice of either high accuracy slit mechanism

VCSELs for gas sensing

Raman images constructed from. Raman Imaging: Defining the Spatial Resolution of the Technology

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Multispectral. imaging device. ADVANCED LIGHT ANALYSIS by. Most accurate homogeneity MeasureMent of spectral radiance. UMasterMS1 & UMasterMS2

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Performance Comparison of Spectrometers Featuring On-Axis and Off-Axis Grating Rotation

An advanced cathodoluminescence facility in a high-resolution scanning electron microscope for nanostructure characterization

2009 International Workshop on EUV Lithography

The Multivariate Optical Element Platform. Technology Overview

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region

Supporting information

LASER-BASED NDT OF TITANIUM AIRCRAFT ENGINE COMPONENTS J. Doyle Jr and M. J. Brinkman Laser Techniques Company, LLC, Bellevue, USA

Schottky Emission VP FE-SEM

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Dario Cabib, Amir Gil, Moshe Lavi. Edinburgh April 11, 2011

LED technology: MOCVD for SSL. Challenges & Solutions

Optional AR coating and wedge windows are available

Compact Dual Field-of-View Telescope for Small Satellite Payloads

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Program for UV Intercomparison 2014 in Davos:

CAMAG TLC VISUALIZER 2

Tunable KiloArc. Tunable Broadband Light Source.

ESCC2006 European Supply Chain Convention

A scientific HDR Multi-spectral imaging platform. B. Dupont, Pyxalis, France.

Quantitative Hyperspectral Imaging Technique for Condition Assessment and Monitoring of Historical Documents

Efficiency & Yield Improvements with Factory-Wide Process Control Software

Transcription:

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter Overview The Säntis 300 system has been designed for fully automated control of 150, 200 and 300 mm wafers. Attolight s Quantitative CL-SEMs offer No Compromise large field fast scanning simultaneous acquisition of SEM image, hyperspectral CL maps, and optical spectra. Smaller diameter wafers, or miscellaneously shaped substrates are manually loaded on intermediary 300 mm susceptors subsequently handled automatically by the tool. The Säntis 300 system offers 3 distinct acquisition modes : step and repeat (S & R), continuous scanning mode (AWpix), integrative scanning mode (FWbrush). Up to 300 mm wafer tool High CL-SEM throughput Simultaneous SEM imaging and Optical signature acquisition Edge detection for accurate blank wafer positioning (± 5 µ) Automated wafer bow mapping and correction

SEM Microstructured LEDs (MicroLed) Step and Repeat and AWPix modes Step and Repeat High resolution cathodoluminescence : individual structures, dislocations, composition fluctuations Typical time / image : 60 s, hyperspectral map 30 min / wafer @ 20 images / wafer FOV 275 µm, 729 MicroLEDs per FOV Automated image analysis 50 µm CL hyperspectral 50 µm CL monochromatic AWPix CL intensity at a given wavelength : measures each structure on the full wafer Typical measurement time per pixel : ~ µs ~ 2 h for 100 % coverage of a 150 mm wafer Automated image analysis Use case : Wafer final test of MicroLED performance 50 µm

LED Full wafer brush mode Pixel size 300 µm Full wafer hyperspectral map 13 minutes for 100 % coverage of a 150 mm wafer SE image Antiflat vicinity (rotated at 180 deg.) Superimposed Mono CL. Panchromatic CL 3 mm exclusion zone Panchromatic CL Visible pits, cracks and threading dislocations (TDs) Spectrum at 5.02 9.18 µm CL monochromatic GaN on Sapphire wafer Threading dislocation density Step and Repeat mode High resolution cathodoluminescence : individual structures, dislocations Typical time / image : 2 s, monochromatic map <10 min per 150 mm wafer at 50 images / wafer 5 µm Automated image analysis Threading dislocation density - 3.1E8 cm-2 Use case : MOCVD deposition control

SiC substrate Defect inspection & classification Main advantages of Attolight CL High resolution cathodoluminescence : defects Typical time / image : 3 minutes, hyperspectral map 40 min / 150 mm wafer for 10 images / wafer Detected defects Green band : 3C-SiC inclusions in 4H-SiC Blue band : point defects Red band : basal plane dislocation sheet (dark zone) Dark lines : stacking faults Dark points : dislocations Automated defect classification GaN HEMT Step & Repeat mode, cross-section Each alloy has a distinct spectral signature: band edge emission C-compensated GaN easily distinguished Growth defect in GaN:C leads to GaN:UID punch-through defect Threading dislocation signature visible Pixel size 40 nm, acquisition time 160 s Attolight AG 2018. We are constantly improving the performance of our products, so all specifications are subject to change without notice.

Acquisition Modes Attolight Säntis 300 system offers 3 distinctive acquisition modes, tailored for different needs and applications: Step and repeat Traditional frozen mapping allowing repetitive acquisitions at fixed locations spread over the wafer. Measurements and analysis parameters can be defined and stored in a recipe database. CL monochromatic 5 µm Information in every zone : Defect Density, peak wavelength, FWHM Dislocation on panchromatic map Full emission spectrum from every pixel on hyperspectral map AWpix (accross wafer pixel) imaging (patent pending) Innovative full measurement of the wafer area allowing medium resolution imaging of the whole wafer. Partial wafer imaging possible. Measurements and analysis parameters can be defined and stored in a recipe database. Down to 0.1 µsec per pixel (intensity at given wavelength) 0.5 to 2.5 µm beam spot size Simultaneous SE image < 2.5 h per wafer for 100 % coverage of a 150 mm diameter wafer FWbrush (full wafer brush) imaging (patent pending) Innovative high speed measurement of the wafer area allowing low resolution imaging of the whole wafer. Partial wafer imaging possible. Measurements and analysis parameters can be defined and stored in a recipe database. Down to 0.1 µsec per pixel (intensity at given wavelength) Down to 1 msec per pixel (Full spectra) 300 µm pixel Simultaneous SE image Up to 4 wafers per hour for 100 % coverage of 150 mm diameter wafers

System configurations Various system configurations are available, the system is fully upgradeable from P1 to P3 : P1 : Manual loading system, no loadlock P2 : Manual loading system, loadlock P3c : Open cassette system P3e : EFEM system Attolight Quantitative Cathodoluminescence The Attolight Quantitative Cathodoluminescence comprises a custom designed electron column with tightly integrated light collection optics. The light collection optics featured a field of view of up to 300 µm. The light detection path is carefully optimized and fully aperture matched to guarantee highest light collection uniformity (±1 %) over the FOV. The carefully integrated design and optimization of light and electron optics make high measurement speeds, accuracy and repeatability possible. Quantitative CL produces UV-Visible and NIR hyperspectral maps (full emission spectrum in every pixel) or panchromatic maps (intensity of defined wavelength in every pixel). System lay-out and facilities Dimensions Dimensions : (length) 2425 mm 1300 mm (width) 2055 mm (height) Tool weight Main unit : ~ 2750 kg Delivered linked together with caster to allow rolling in place. Facilities Dry nitrogen Compressed air Power Exhaust Primary pump can be remotely located Operator manually loading wafer into the loadlock of a Säntis 300 P2 tool Attolight AG / EPFL Innovation Park / Building D / 1015 Lausanne / Switzerland t +41 21 626 0100 / contact@attolight.com / www.attolight.com