A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

Similar documents
3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

To learn fundamentals of high speed I/O link equalization techniques.

5Gbps Serial Link Transmitter with Pre-emphasis

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

ALTHOUGH zero-if and low-if architectures have been

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers

High-Speed Interconnect Technology for Servers

REDUCING power consumption and enhancing energy

A High-Resolution Dual-Loop Digital DLL

/$ IEEE

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Ultra-high-speed Interconnect Technology for Processor Communication

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

Continuous-time Adaptive Equalizers with Power Spectrum Estimation

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

IN RECENT years, low-dropout linear regulators (LDOs) are

TIMING recovery (TR) is one of the most challenging receiver

LSI and Circuit Technologies for the SX-8 Supercomputer

Conference Guide IEEE International Symposium on Circuits and Systems. Rio de Janeiro, May 15 18, 2011

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

A High-speed SerDes Transceiver for Wireless Proximity Communication

ECEN 720 High-Speed Links Circuits and Systems

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

THE DEMANDS of a high-bandwidth dynamic random access

ECEN 720 High-Speed Links: Circuits and Systems

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

RECENTLY, low-voltage and low-power circuit design

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

IN HIGH-SPEED wireline transceivers, a (DFE) is often

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

MULTIPHASE clocks are useful in many applications.

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Equalize 10Gbase-CX4 and Copper InfiniBand Links with the MAX3983

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

BER-optimal ADC for Serial Links

ECEN620: Network Theory Broadband Circuit Design Fall 2014

WITH the growth of data communication in internet, high

Adaptive Cable Equalizer for IEEE 1394b

A design of 16-bit adiabatic Microprocessor core

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2

High-Performance Electrical Signaling

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

NEW WIRELESS applications are emerging where

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

A 2.5 V 109 db DR ADC for Audio Application

Transmitter Equalization for 4Gb/s Signalling

APPLICATIONS such as computer-to-computer or

Time Table International SoC Design Conference

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

LSI and Circuit Technologies of the SX-9

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

ISSN:

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

THE TREND toward implementing systems with low

High-Speed Links. Agenda : High Speed Links

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

AN increasing number of video and communication applications

PWM pre-emphasis. Chapter Introduction

ECEN620: Network Theory Broadband Circuit Design Fall 2012

OIF CEI 6G LR OVERVIEW

Transcription:

1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member Summary A new compact line equalizer is proposed for backplane serial link applications. The equalizer has two control blocks. The feed-forward swing control block determines the optimal low frequency level and the feedback control block detects signal shapes and decides the high-frequency boosting level of the equalizer. Successful equalization is demonstrated over a 1.5m long PCB trace at 3.125-Gb/s by the circuit realized with 0.18 μm CMOS process. The circuit occupies only 0.16mm 2 and consumes 20mW with 1.8V supply. Key words: Adaptive Equalizer, Backplane Transceiver 1. Introduction Recent efforts to increase data throughput on multi-gigabit systems face a number of challenges. It is no longer sufficient to solely increase the speed of ICs to achieve higher data rates. This is due to the emergence of other constraints, specifically the signal impairments arising from the transmission media, such as frequency-dependent loss and crosstalk. In high-rate NRZ data communication over cables or PCB traces, skin effects and dielectric losses cause attenuation of high-frequency signal components, resulting in inter-symbol interference (ISI) that limits transmission data rate and distance [1]. To overcome channel impairments, many pre-emphasis and eqaulizer circuits have been proposed [1-5]. Conventional active continuous-time equalizers without clock recovery circuits employ a single feedback adaptation loop that adjusts the high frequency boosting according to the difference between high frequency contents of data before and after slicing [2, 3]. However, for proper equalization, low frequency contents of data should be also adjusted. Thus, an additional feedback swing control loop [4] or a low frequency control loop [5] is applied to the adaptation circuits. However, optimization of two inter-related feedback loop dynamics is a difficult task and it takes much time to reach steadystates for both feedback loops. In addition, circuit realization of two separate feedback loops takes lots of chip area. We propose a new compact equalizer structure which has a feed-forward swing control and a feedback boost gain control loop. With the feed-forward swing control, fast swing control is acheived separately and the problem of loop dynamics is simplified to one feedback loop. In addtion, as the feedback boost gain control loop is digitally controlled, robust and stable feedback operation is possible. Seccessful adaptive line eqaulization is demonstrated over a 1.5m PCB trace at 3.125Gbps. This paper is organized as follows. Proposed adaptive equalizer structure is presented in Section 2. Section 3 describes details of circuit implementation. Measurement results of the prototype chip are given in Section 4, followed by conclusion in Section 5. 2. Equalizer Structure Fig. 1 shows the block diagram of proposed equalizer. For the equalizing filter, we used the separate-path topology with two signal paths: flat gain path and high-frequency boosting path [3]. Controlling the combined gain of two paths, both the filter-zero frequency and the boosting gain are adjusted. For the maximum eye opening, the equalizer filter settings should be adjusted for the optimum values, which provide optimal compensating gains for the high frequency loss. Because ISI results from different amounts of loss for the high and low frequencies, relative amounts of the high frequency gain compared to the low frequency Fig. 1 Equalizer Block Diagram. Manuscript received Manuscript revised. The author is with Dept. of Electrical and Electronic Eng., Yonsei University, Seoul 120-749, Korea. The author is with Samsung Electronics Company Ltd., Hwasung-si, Kyunggi-do, Korea,

2 contents should be controlled. If the low frequency gain is not adjusted, the adaptation loop for boosting gain can either underestimate or overestimate the high frequency contents of the signal, which results in a suboptimal solution. The swing voltage level of the flat amplified signal after long consecutive zeros or ones represents the low frequency contents in time domain. Thus, comparing the swing level between the slicer input and output signals, the low frequency contents can be adjusted. With this, the power comparison loop can converges to the optimal equalizer setting. Equalizer input signal is ISI signal with high frequency loss, which has the pattern-dependent edge rate in time domain. But, the slicer output provides signal with the predefined edge-rate. If the two signals have the same maximum swing level, which means the two signals have the same low frequency level, the overall power difference between two signals represents the high frequency loss of input ISI signal. Then, through the power comparison loop, the equalizer setting converges to the optimal value to satisfy the predefined edge rate for all the input data patterns. Thus, when the power of the input ISI signal and the slicer output signal are same, which means all the input data patterns have the same edge rate, the equalizer setting is optimized. For the low frequency contents control, feed-forward swing control is used and for the high frequency contents control, feedback boost gain control is applied to the equalizing filter. Feed-forward swing control path is composed of replica combiner, bottom detector and swing controllabe slicer. Replica combiner reproduces the flat amplified signal of input signal with the same swing level and offset as the equalized signal. Bottom detector detects the swing voltage level of the flat amplified signal and provides control voltage to slicer. Then, slicer adjusts output swing level quickly and converts input equalized signal into two binary levels with predefined edge rate. After the low frequency contents are adjusted with this swing level comparison, the digital control block just compares overall input and output signal powers of the slicer. Then it controls the high frequency boosting gain through the feedback loop. passive R-C filter as shown in Fig. 2. To obtain the boosting gain around 1.5GHz, the pole frequency is set thorough the RC value of the high pass filter. Then, the equalized output signal, Eq_out, is obtained from the weighted sum of two input signals, Din and Din_HF. The path delay between two paths can cause phase shift or skew and if two paths have large phase mismatch, the waveform is not equalized. However, because there is no amplifier block between two signals and the combiner Fig. 2. Termination and high pass filter Fig. 3. Schematic of combiner 3. Circuit Implementation 3.1 Digitally Controlled Equalizing Filter For the flat gain path, input signal, Din, is obtained from termination and for the high frequecny boosting path, high-pass filtered signal, Din_HF, is obatined from Fig. 4. Schematic of digitally controlled bias generator

3 Gain 3.5 3.0 2.5 2.0 1.5 1.0 0.5 Boost control 3.2 Bottom Detector Fig. 7 shows the schematic of bottom detector that measures the lowest signal value. When the signal has reached its lowest value, the output voltage of the OTA in Fig. 8 becomes equal to its negative saturation voltage and the output of bottom detector follows the lowest value of input signal [6]. Then, its negative swing voltage is delivered to the slicer. 0.0 1E8 1E9 1E10 Frequency(Hz) Fig. 5. Magnitude bode plot of DCFFE In reset and two signal paths are carefully laid out, phase shift between two signals can be ignored. Their weights of combiner in Fig. 3 are tuned with the control voltage, Ctrl_LF and Ctrl_HF, which are generated from the digitaly controlled bias circuit in Fig. 4. They determine the high-frequecny boosting level, which has 8 levels and achieves the maximum gain of about 6dB, and increases in the direction of the arrow as shown in Fig. 5. diode Fig. 7. Schematic of Bottom Detector OUT 3.2 Replica Combiner For the feed-forward swing control, the same structure as that in the equalizing filter is used for replica combiner as shown in Fig. 6. Its inputs are input signal and the termination bias voltage, which is the common mode voltage of Din_HF. It combines them with the same current source bias voltages, Ctrl_LF and Ctrl_HF, as the equalizing filter. As a result, the flat amplified signal, Flat_out has the same voltage swing and offset signal with the equalized signal, Eq_out. Fig. 8. Schematic of OTA 3.3 Swing Controllable Slicer The slicer, shown in Fig. 9, is implemented by three cascaded amplifiers. As shown in Fig. 10, through replica feedback, the amplifiers produce controllable swing output according to the swing control voltage from bottom detector [7]. Then, the output signal swing becomes equal to the input signal swing, sharpening the output signal edge. Fig. 6. Schematic of replica combiner

4 Fig. 11. Power Comparison Circuit and Controller Fig. 9. Schematic of Slicer Slicer output Fig. 12. Square circuit Swing Control voltage Fig. 10. Waveform of Slicer 3.4 Control Block The boosting gain control block is shown in Fig. 11. Initially, the equalizer operates in adaptation mode. Square circuit in Fig. 12 rectifies the equalizer output signal and the slicer output signal. Then, the sense amplifier in Fig. 13 compares the two outputs of the square circuit at an interval of 300nsec. If equalized signal power is smaller than the slicer output power, the boosting gain is increased digitally through the controller. Then, the digital code controls the bias circuit of equalizer combiner in Fig. 4. The flow chart shown in Fig. 14 explains the operation of the controller. Fig. 13. Sense amplifier

5 although there remains residual ISI because of the insufficient maximum gain to fully equalize the signal loss of the 1.5m PCB trace, data are clearly recovered and the bit error rate below 10-12 is observed. The equalizer peakto-peak jitters were measured at various trace lengths for both 2.5Gb/s and 3.125Gb/s, and the results are summarized in Fig. 17. Fig. 18 shows the jitter variation with respect to the transmitter signal amplitudes over 1.5- m PCB trace at 3.125Gb/s data transmission speed. The signals with larger amplitude have better jitter performance because the signal level detection and power comparison are accomplished more accurately. Fig. 14. Flow Chart of Controller 4. Measurement Results The equalizer circuit was designed with 0.18 μm CMOS technology with 1.8V supply. Fig. 15 is a chip microphotograph. The chip includes adaptive equalizer, timing generator for control block, and output driver. The active circuit area of the equalizer is about 0.16 mm2 and the power dissipation is about 20mW (excluding the output driver) with 1.8-V supply. The chip was packaged in a 120-pin TQFP. (a) Fig. 15. Chip microphotograph For measurement, 0.4-V pp 2 7-1 PRBS data at 3.125Gb/s. were sent into the chip through FR-4 PCB strip-line traces having various lengths and the differential output of the test chip was measured by a digital sampling oscilloscope. Fig. 16 shows the eye diagram at the line output after 1.5- m FR4 PCB trace without and with the equalizer. After the transmission through 1.5-m FR4 PCB trace, the eye is completely closed. The media loss (S21) at the 1.5GHz is measured about 8dB. However, with equalization, (b) Fig. 16. Eye diagrams (a) Line output after 1.5-m FR4 PCB trace at 3.125Gb/s with 2 7-1 PRBS pattern (b) Equalizer output at 3.125Gb/s

6 Peak-to-peak jitter[ps] 100 80 60 40 20 Data rate @2.5Gbps Data rate @3.125Gbps 0 0.0 0.3 0.6 0.9 1.2 1.5 PCB trace length[m] Fig. 17. Measured equalizer jitter with various PCB lengths Peak-to-peak jitter[ps] 120 110 100 90 Data rate @3.125Gbps References [1] W. J. Dally and J. Poulton, "Transmitter equalization for 4- Gbps signaling," IEEE Micro, vol. 17, pp. 48-56, Jan./Feb. 1997. [2] G. P. Hartman, Kenneth W. Martin and Angus McLaren, Continuous Time Adaptive Analog Coaxial Cable Equalizer in 0.5 μm CMOS, Proc. Int. Symp on Circuits and Systems, pp. 97-100, May 1999. [3] Guangyu Zhang, Pruthvi Chaudhari and Michael M. Green, A BiCMOS 10Gb/s Adaptive Cable Equalizer, ISSCC Dig. Tech. Paper, pp. 482-483, Feb., 2004. [4] Srikanth Gondi, Jri Lee, Daishi Takeuchi and Behzad Razavi, A 10Gb/s CMOS Adaptive Equalizer for Backplane Applications, ISSCC Dig. Tech. Paper, pp. 328-329, Feb., 2005. [5] Jong-Sang Choi, Moon-Sang Hwang, Deog-Kyoon Jeong, A 0.18 μm CMOS 3.5-Gb/s Continuous-Time Adaptive Cable Equalizer Using Enhanced Low-Frequency Gain Control Method, IEEE J. Solid-State Circuits, vol.39, pp.419-425, March. 2004. [6] M. W. Kruiskamp and D. M. W. Leenaerts, A CMOS Peak Detect Sample and Hold Circuit, IEEE Transactions on Nuclear Science, vol. 41, No.1, pp. 294-298, Feb. 1994. [7] J. Maneatis, Low-jitter process-independent DLL and PLL based on self-biased techniques, IEEE J. Solid-State Circuits, vol.31, pp.1723-1732, Nov. 1996. 0.2 0.3 0.4 TX signal Amplitude[Vpp] Fig. 18. Measured equalizer jitter with different transmitter signal amplitudes with 1.5-m PCB trace at 3.125Gb/s 2 7-1 PRBS pattern 5. Conclusion A new compact adaptive line equalizer is demonstrated. Low power consumption and small chip area are achieved by use of the feed-forward swing control and the digitally controlled boosting gain. The equalizer operates up to 3.125Gbps over a 1.5m length PCB trace. Acknowledgments This work was supported by the Ministry of Information and Communication, Korea, under the Information Technology Research Center support program supervised by the Institute of Information Technology Assessment. We also acknowledge that EDA software used in this work was supported by IDEC (IC Design Education Center).