Hybrid vertical-cavity laser integration on silicon

Similar documents
Design of an 845-nm GaAs Vertical-Cavity Silicon-Integrated Laser with an Intracavity Grating for Coupling to a SiN Waveguide Circuit

Dynamic properties of silicon-integrated short-wavelength hybrid-cavity VCSEL

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 23, NO. 6, NOVEMBER/DECEMBER

Silicon-Integrated Hybrid-Cavity 850-nm VCSELs by Adhesive Bonding: Impact of Bonding Interface Thickness on Laser Performance

High-speed 850 nm VCSELs with 28 GHz modulation bandwidth for short reach communication

High-efficiency, high-speed VCSELs with deep oxidation layers

Silicon-integrated short-wavelength hybridcavity

Integration of GaAs-based VCSEL array on SiN platform with HCG reflectors for WDM applications

Laser and System Technologies for Access and Datacom

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Vertical-Cavity Surface-Emitting Lasers: Large Signal Dynamics and Silicon Photonics Integration

22 Gb/s error-free data transmission beyond 1 km of multi-mode fiber using 850 nm VCSELs

VCSELs and Optical Interconnects

High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates

Spatial Investigation of Transverse Mode Turn-On Dynamics in VCSELs

VERTICAL CAVITY SURFACE EMITTING LASER

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

VCSELs With Enhanced Single-Mode Power and Stabilized Polarization for Oxygen Sensing

Implant Confined 1850nm VCSELs

Bistability in Bipolar Cascade VCSELs

SUPPLEMENTARY INFORMATION

InP-based Waveguide Photodetector with Integrated Photon Multiplication

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects

Improved Output Performance of High-Power VCSELs

Flip-Chip Integration of 2-D 850 nm Backside Emitting Vertical Cavity Laser Diode Arrays

Mode analysis of Oxide-Confined VCSELs using near-far field approaches

Optoelectronics ELEC-E3210

Monolithically-integrated long vertical cavity surface emitting laser incorporating a concave micromirror on a glass substrate

Vertical External Cavity Surface Emitting Laser

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

The Development of the 1060 nm 28 Gb/s VCSEL and the Characteristics of the Multi-mode Fiber Link

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Nano electro-mechanical optoelectronic tunable VCSEL

Commercial VCSELs and VCSEL arrays designed for FDR (14 Gbps) optical links

Performance Characterization of a GaAs Based 1550 nm Ga In N As 0.89 Sb 0.08 MQW VCSEL

SUPPLEMENTARY INFORMATION

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

A thin foil optical strain gage based on silicon-on-insulator microresonators

Polarization Control of VCSELs

Improved Output Performance of High-Power VCSELs

Chalmers Publication Library

Feedback-Dependent Threshold of Electrically Pumped VECSELs

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Physics of Waveguide Photodetectors with Integrated Amplification

Long wavelength electrically pumped GaSb-based Buried Tunnel Junction VCSELs

Continuous-Wave Characteristics of MEMS Atomic Clock VCSELs

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes

Heinrich-Hertz-Institut Berlin

Ultracompact Adiabatic Bi-sectional Tapered Coupler for the Si/III-V Heterogeneous Integration

Vertical Cavity Surface Emitting Laser (VCSEL) Technology

Design and Analysis of Resonant Leaky-mode Broadband Reflectors

InP-based Waveguide Photodetector with Integrated Photon Multiplication

Optics Communications

SUPPLEMENTARY INFORMATION

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

White Paper Laser Sources For Optical Transceivers. Giacomo Losio ProLabs Head of Technology

Volume production of polarization controlled single-mode VCSELs

3550 Aberdeen Ave SE, Kirtland AFB, NM 87117, USA ABSTRACT 1. INTRODUCTION

Cavity QED with quantum dots in semiconductor microcavities

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

Lecture 4 INTEGRATED PHOTONICS

Modal and Thermal Characteristics of 670nm VCSELs

Novel Integrable Semiconductor Laser Diodes

VERTICAL-CAVITY surface-emitting lasers (VCSELs)

Air Cavity Dominant VCSELs with a Wide Wavelength Sweep

2.34 μm electrically-pumped VECSEL with buried tunnel junction

10 Gb/s transmission over 5 km at 850 nm using single-mode photonic crystal fiber, single-mode VCSEL, and Si-APD

Basic concepts. Optical Sources (b) Optical Sources (a) Requirements for light sources (b) Requirements for light sources (a)

Design of InGaAs/InP 1.55μm vertical cavity surface emitting lasers (VCSEL)

Silicon Photonic Device Based on Bragg Grating Waveguide

High Speed pin Photodetector with Ultra-Wide Spectral Responses

Uncooled 2.5 Gb/s operation of 1.3 μm GaInNAs DQW lasers over a wide temperature range

Chapter 1 Introduction

InP-based Long Wavelength VCSEL using High Contrast Grating

Grating coupled photonic crystal demultiplexer with integrated detectors on InPmembrane

Vertical-cavity surface-emitting lasers (VCSELs)

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014

10 W reliable operation of 808 nm broad-area diode lasers by near field distribution control in a multistripe contact geometry

Lithographic Vertical-cavity Surface-emitting Lasers

Copyright 2006 Crosslight Software Inc. Analysis of Resonant-Cavity Light-Emitting Diodes

Laser Diode. Photonic Network By Dr. M H Zaidi

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Review of Semiconductor Physics

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Silicon-based photonic crystal nanocavity light emitters

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Tunable Resonant-Cavity-Enhanced Photodetector with Double High- Index-Contrast Grating Mirrors

nd IEEE International Semiconductor Laser Conference (ISLC 2010) Kyoto, Japan September IEEE Catalog Number: ISBN:

Investigation of ultrasmall 1 x N AWG for SOI- Based AWG demodulation integration microsystem

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

GaAs/AlGaAs-Based 870-nm-Band Widely Tunable Edge-Emitting V-Cavity Laser

Silicon photonic devices based on binary blazed gratings

Near/Mid-Infrared Heterogeneous Si Photonics

Optical MEMS in Compound Semiconductors Advanced Engineering Materials, Cal Poly, SLO November 16, 2007

rd IEEE International Semiconductor Laser Conference (ISLC 2012) San Diego, California, USA 7 10 October IEEE Catalog Number: ISBN:

Silicon-on-insulator spectrometers with integrated GaInAsSb photodiodes for wide-band spectroscopy from 1510 to 2300 nm

Transcription:

Invited Paper Hybrid vertical-cavity laser integration on Emanuel P. Haglund* a, Sulakshna Kumari b,c, Johan S. Gustavsson a, Erik Haglund a, Gunther Roelkens b,c, Roel G. Baets b,c, and Anders Larsson a a Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-41296, Göteborg, Sweden; b Photonics Research Group, INTEC Department, Ghent University-IMEC, Belgium; c Center for Nano- and Biophotonics, Ghent University, Belgium ABSTRACT The hybrid vertical-cavity laser is a potential low current, high-efficiency, and small footprint light source for photonics integration. As part of the development of such light sources we demonstrate hybrid-cavity VCSELs (HC-VCSELs) on where a GaAs-based half-vcsel is attached to a dielectric distributed Bragg reflector on by adhesive bonding. HC-VCSELs at 850 nm with sub-ma threshold current, >2 mw output power, and 25 Gbit/s modulation speed are demonstrated. Integration of short-wavelength lasers will enable fully integrated photonic circuits on a -nitride waveguide platform on for applications in life science, bio-photonics, and short-reach optical interconnects. Keywords: hybrid vertical-cavity, vertical-cavity surface-emitting laser, heterogeneous integration, photonic integration, photonics 1. INTRODUCTION Integration of light sources on enables fully integrated photonic circuits with a high degree of functionality and performance complexity for various applications [1]. Among the possible light source integration technologies, the hybrid vertical-cavity laser (HVCL) by heterogeneous integration is attractive as it has the potential for low drive current, high efficiency, and small footprint [2-4]. Coupling to an in-plane waveguide can be accomplished by e.g. an intra-cavity waveguide with a weak diffraction grating (Fig.1) [5]. We have developed a technology for hybrid-cavity VCSEL (HC-VCSEL) integration where a GaAs-based half-vcsel is attached to a dielectric distributed Bragg reflector (DBR) on by adhesive bonding (Fig.2) [6-8]. While this device does not yet contain elements for coupling to an in-plane waveguide, it lends itself to the development and implementation of the integration concept. HC-VCSELs at 850 nm with sub-ma threshold current, >2 mw output power, and 25 Gbit/s modulation speed are demonstrated. In addition, we show that the thickness of the bonding interface can be used to optimize a certain performance parameter at a given temperature or to minimize the variation of performance over temperature. Integration of such short-wavelength light sources on a -nitride waveguide platform on may enable fully integrated photonic circuits for applications in life science, bio-photonics, and short-reach optical interconnects. half III-V VCSEL oxide aperture bonding interface dielectric DBR + waveguide with grating Figure 1. Schematic cross-section of a HVCL with an intra-cavity waveguide with a diffraction grating for tapping off power to the in-plane waveguide. The III-V part is bonded to the Si-based part using adhesive bonding. *emanuel.haglund@chalmers.se Vertical-Cavity Surface-Emitting Lasers XXI, edited by Kent D. Choquette, Chun Lei, Proc. of SPIE Vol. 10122, 101220H 2017 SPIE CCC code: 0277-786X/17/$18 doi: 10.1117/12.2256983 Proc. of SPIE Vol. 10122 101220H-1

p-algaas DBR oxide aperture gain region n-algaas contact layer bonding interface dielectric DBR Figure 2. Schematic cross-section of the HC-VCSEL. The III-V part is bonded to the Si-based part using adhesive bonding. 2. CONCEPT AND DESIGN The HC-VCSEL cavity consists of a III-V part (a semiconductor DBR and active region) and a Si-based part (a dielectric DBR on Si) and is therefore a hybrid cavity (Fig.2). The III-V part contains an n-doped AlGaAs contact layer, an InGaAs/AlGaAs multi-quantum well (QW) active region, a p-doped Al0.98Ga0.02As layer for the formation of an oxide aperture, and a p-doped AlGaAs DBR. The dielectric DBR is a 20-pair SiO2/Ta2O5 DBR. Between the parts is a thin layer of SiO2 (deposited on the dielectric DBR) and an ultra-thin layer of divinylsiloxane-bis-benzocyclobutene (DVS-BCB). The DVS-BCB layer is used as the adhesive bonding agent [9]. The two layers define the bonding interface, where the thickness of the DVS-BCB layer is kept constant while the thickness of the SiO2 layer is used to control the interface thickness and therefore the length of the cavity, the resonance wavelength, and the offset between the resonance and gain peak wavelengths. The optical cavity properties were analyzed using a 1D effective index model [10]. The intensity of the optical standingwave along the optical axis of the cavity is shown in Fig.3. Important cavity parameters at a resonance wavelength of 845 nm are listed in Table 1. The intra-cavity loss is due to free-carrier absorption in the n-doped contact layer and the pdoped DBR. The variations of resonance wavelength and threshold gain with bonding interface thickness are shown in Fig.4. Over a wavelength range of 35 nm (830-865 nm), the threshold gain is below 1000 cm-1, which should allow for low threshold currents. More details on the design and results from the simulations can be found in [6-8]. Figure 3. Simulated standing-wave optical field intensity along the optical axis of the HC-VCSEL (red) and the real refractive index profile (black). Proc. of SPIE Vol. 10122 101220H-2

Table 1. Cavity parameters at a resonance wavelength of 845 nm. Optical confinement factor 0.0173 Cold cavity Q 16200 Total loss (ps -1 ) 0.138 Top DBR transmission loss (ps -1 ) 0.053 Bottom DBR transmission loss (ps -1 ) 0.00003 Intra-cavity loss (ps -1 ) 0.085 Threshold gain (cm -1 ) 609 Photon lifetime (ps) 7.25 1700 870 -- 1400 w Btr 800 -E- 860 E 2co to 850 3 8 c m c 840,$ mre 50 100 150 Bonding interface thickness (nm) 830 200 Figure 4. Simulated dependence of resonance wavelength and threshold gain on bonding interface thickness. 3. FABRICATION Fabrication starts with the deposition of the dielectric SiO 2 /Ta 2 O 5 DBR on a Si wafer and growth of the epitaxial III-V structure on a GaAs substrate. The comparison of simulated and measured reflectance for the dielectric DBR in Fig.5 shows good agreement in terms of the width of the stopband and a slight blue-shift of the measured center wavelength (20 nm) with respect to simulations. 100 80 simulated measured 4 1 60 If \10 0 600 700 800 900 1000 1100 Figure 5. Simulated (red) and measured (black) spectral reflectance of the 20-pair SiO 2 /Ta 2 O 5 dielectric DBR. Proc. of SPIE Vol. 10122 101220H-3

GaAs DVS-BCB die attach (a) (b) BCB (c) (d) Figure 6. Fabrication process flow for the hybrid-cavity VCSEL. (a) bonding of GaAs die with epitaxial structure to the dielectric DBR on Si, spin-coated with DVS-BCB, (b) removal of GaAs substrate, (c) top p-contact metallization, mesa etching, selective oxidation, and intra-cavity n-contact metallization, (d) planarization with BCB and pad metal deposition. n-contact p-contact - _ 10 µm refractive index p-algaas DBR oxide layer n-algaas contact layer InGaAs/AlGaAs QW active region SiO 2 /Ta 2 O 5 DBR bonding interface Si substrate 5 µm Figure 7. SEM images of a focused ion beam cross-section and microscope top image (upper right) of a fabricated HC-VCSEL. Proc. of SPIE Vol. 10122 101220H-4

The process flow for HC-VCSEL fabrication is illustrated in Fig.6. It starts with deposition of the thin SiO 2 layer on the dielectric DBR, followed by spin-coating and partial curing of the DVS-BCB bonding layer. The III-V die is then bonded to the dielectric DBR and fully cured using the process described in [6]. The bonding process results in a DVS-BCB thickness of ~40 nm. This is followed by removal of the GaAs substrate. Finally, oxide-confined HC-VCSELs are fabricated using processes commonly used for the fabrication of oxide-confined VCSELs. This involves p-contact metallization, mesa etching, selective oxidation, and n-contact metallization. The structure was also planarized with BCB before pad metallization. Fig.7 shows SEM images under different magnification of a focused ion beam cross-section for a fabricated HC-VCSEL, as well as a microscope top image. In the SEM images, the bonding interface, the DBRs, and the oxide aperture used for transverse current and optical confinement are clearly visible. Four different bonding interface (DVS-BCB + SiO 2 ) thicknesses (35, 65, 125, and 180 nm) were used. For each, HC-VCSELs with an oxide aperture diameter varying from 3 to 10 µm were fabricated. 4. PERFORMANCE EVALUATION AND DEPENDENCE ON BONDING INTERFACE THICKNESS For the HC-VCSELs with four different bonding interface thicknesses (device A-D), the corresponding cavity resonance wavelengths and differences between the gain peak wavelength and cavity resonance wavelength (gain-resonance offset) at 25 C are listed in Table 2. The gain peak wavelength was estimated from photoluminescence measurements performed on the QWs used in the epitaxial structure. The offset changes with temperature since the gain spectrum redshifts ~4 times faster than the resonance wavelength [11]. It is therefore an important parameter that controls the optical gain properties as well as the temperature dependence of several important performance parameters. Table 2. Bonding interface thicknesses and corresponding cavity resonance wavelengths and gain-resonance offsets at 25 C. Device A Device B Device C Device D Bonding interface thickness (nm) 35 65 125 180 Cavity resonance wavelength (nm) 843 853 861 867 Gain-resonance offset (nm) +9-1 -9-15 Fig.8 shows the measured output power and voltage as a function of current for devices A-D with an oxide aperture diameter of 10 µm in the temperature range 15-100 C. The measured dependence of threshold current on temperature and emission spectra at 25 C/2 ma are shown in Fig.9. At 25 C, the dependence of threshold current on the bonding interface thickness follows the variation of threshold gain predicted by simulations (Fig.4), with minimum threshold current for device B which has the smallest gain-resonance offset at this temperature. At high temperature, C and D have lower threshold current since for these devices the cavity resonance and gain peak align at higher temperatures. The achievable output power is largely determined by the slope efficiency and the thermal roll-over current. With a relatively high slope efficiency (~0.5 W/A at 25 C, and decreasing at high temperatures due to increased internal loss 3 2.5 E 2 1.5 ó 1 0.5 0 o Sf Ili li 2 4 6 8 Current (ma) i177.. ir; : i o 10 0 2 4 6 8 10 0 2 4 6 8 10 0 2 4 6 8 10 Current (ma) Current (ma) Current (ma) Figure 8. Output power and voltage vs. current from 15 to 100 C (5 C steps) for devices A-D, all with a 10 µm oxide aperture diameter. Proc. of SPIE Vol. 10122 101220H-5

and reduced internal quantum efficiency), output power is to a large extent limited by the relatively low roll-over current. This is due to the high thermal impedance caused by the low thermal conductivity of the dielectric DBR. The measured thermal impedance is 7 K/mW, which is ~4 times higher than for a conventional GaAs-based oxide-confined VCSEL [12]. This results in a maximum power of 2.3 mw at 25 C from devices B and C, and 0.6 mw at 100 C from device D. For most devices, the differential resistance is 50-60 Ω and largely independent of temperature. This indicates that the n-algaas layer provides a low resistance path for intra-cavity current injection. Threshold current (ma) N 41 Intensity (dbm) W Á Ñ O O O 80 830 840 850 860 Wavelength (nm) 870 880 Figure 9. Threshold current vs. temperature (left) and emission spectrum at 25 C and 2 ma current for devices A-D. The small-signal modulation response, along with fits to a three-pole transfer function for extracting the resonance frequency, damping rate, and parasitic pole frequency and their dependencies on current [13], is shown in Fig.10. All devices show a strongly resonant response even at the highest current due to the limited photon density achieved at thermal roll-over, which limits the resonance frequency and therefore the damping. This, together with the relatively large capacitance associated with the single oxide-aperture limits the modulation bandwidth to ~10 GHz at 25 C and ~6 GHz at 85 C. The impact of bonding interface thickness (gain-resonance offset) on HC-VCSEL dynamics is reflected in the strength of the damping of the modulation response at thermal roll-over (where the highest photon density is established) and by the rate at which the resonance frequency increases with current (which is quantified by the D-factor). Therefore, devices B-D have the most damped response at the highest current while also having a lower D-factor than device A (from 4.8 to 3.0 GHz/mA 1/2 for device A-D at 25 C). This is because of the differential gain being lower on the long-wavelength side of the gain peak. m response (db) Co w o w rnto 5 10 15 0 5 10 15 0 5 10 1oß \\ 15 0 5 10 15 I Aodulstion response (db) I I I A) CO O) f.f O N Of CO C 5 10 15 0 1C 5 10 15 Frequency ighzì Frequency ighz) Frequency (GHz} Figure 10. Small signal modulation response for devices A-D at 25 C (upper) and C-D at 85 C (lower). Proc. of SPIE Vol. 10122 101220H-6

2 10 Gb/s, 25 C A 10 Gb/s, 85 C y 25 Gb/s, 25 C -8-10 0 5 10 15-12 - -14-16 -12-8 -4 0 4 Received optical power (dbm) Figure 11. Small signal modulation response at 25 C for a HC-VCSEL with a 5 µm oxide aperture diameter and an emission wavelength of 859 nm (similar to device C). BER vs. received optical power for the same device at bit-rates up to 25 Gbit/s at 25 C and 10 Gbit/s at 85 C. Insets show received optical eyes. For large-signal modulation and data transmission experiments we chose a HC-VCSEL with a smaller aperture diameter of 5 µm and a resonance wavelength of 859 nm. This device has a threshold current of 0.5 ma and a more damped response due to the higher photon density. Fig.11 shows the small-signal modulation response, with a maximum modulation bandwidth of 12 GHz at 25 C (9 GHz at 85 C), and results from transmission experiments. Maximum data rates of 25 and 10 Gbit/s were reached at 25 and 85 C, respectively. 5. SUMMARY AND DISCUSSION We have established a heterogeneous integration technique for short-wavelength HC-VCSELs on Si where an epitaxial GaAs-based half-vcsel structure is attached to a dielectric DBR on Si by adhesive bonding. HC-VCSELs at 850 nm with sub-ma threshold current, >2 mw output power, and 25 Gbit/s modulation speed were demonstrated. We have also shown that the thickness of the bonding interface can be used to optimize a certain performance parameter (e.g. threshold current, output power, or modulation speed) at a given temperature or to minimize the variation of performance over temperature. The performance of the HC-VCSELs in terms of output power and speed is limited by the high thermal impedance caused by the high thermal resistance of the dielectric DBR. Integrated metallic heat spreaders [14] or thermal shunts [15] may reduce the thermal impedance. With an intra-cavity waveguide with a diffraction grating, light can be tapped of to the in-plane waveguide. Together with a high reflectance top DBR this may enable a low current, high-efficiency and small footprint HVCL for photonics integration. Short-wavelength light sources on a -nitride waveguide platform on Si will enable fully integrated photonic circuits for applications in life science, bio-photonics, and short-reach optical interconnects. 6. ACKNOWLEDGEMENT This work was supported by the European Union s Horizon 2020 research and innovation program under grant agreement no. 688519 (PIX4life), the Swedish Foundation for Strategic Research (SSF), and the European FP7-ERC- InSpectra Advanced Grant. REFERENCES [1] Z. Zhou et al., On-chip light sources for photonics, Light Sci. Appl. 4(11), 358 (2015). [2] Y. Tsunemi et al., 1.55-µm VCSEL with polarization-independent HCG mirror on SOI, Opt. Express 21(23), 28685 (2013). [3] J. Ferrara et al., Heterogeneously integrated long-wavelength VCSEL using high contrast grating on an SOI substrate, Opt. Express 23(3), 2512 (2015). Proc. of SPIE Vol. 10122 101220H-7

[4] G. C. Park et al., Hybrid vertical-cavity laser with lateral emission into a waveguide, Laser Photon. Rev. 9(3), L11 (2015). [5] D. A. Louderback et al., VCSELs with monolithic coupling to internal horizontal waveguides using integrated diffraction gratings, Electron. Lett. 40(17), 1064 (2004). [6] E.P. Haglund et al., Silicon-integrated short-wavelength hybrid-cavity VCSEL, Opt. Express 23(26), 33634 (2015). [7] E.P. Haglund et al., 20-Gb/s modulation of -integrated short-wavelength hybrid-cavity VCSELs, IEEE Photon. Technol. Lett. 28(8), 856 (2016). [8] E.P. Haglund et al., Silicon-integrated hybrid-cavity 850 nm VCSELs by adhesive bonding: impact of bonding interface thickness on laser performance, IEEE J. Sel. Top. Quantum Electron., in press, posted December 1 (2016). [9] S. Keyvaninia et al., Ultra-thin DVS-BCB adhesive bonding of III-V wafers, dies and multiple dies to a patterned -on-insulator substrate, Opt. Mater. Express 3(1), 35 (2013). [10] G.R. Hadley, Effective index model for vertical-cavity surface-emitting lasers, Opt. Lett. 20(13), 1483 (1995). [11] D.B. Young et al., Enhanced performance of offset-gain high-barrier vertical-cavity surface-emitting lasers, IEEE J. Quantum Electron. 29(6), 2013 (1993). [12] P.P. Baveja et al., Assessment of VCSEL thermal rollover mechanisms from measurements and empirical modeling, Opt. Express 19(16), 15490 (2011). [13] L. Coldren and S. Corzine, Diode Lasers and Photonic Integrated Circuits, New York, NY: John Wiley & Sons, Inc. (1995). [14] A.N. Al-Omari and K.L. Lear, VCSELs with a self-aligned contact and copper-plated heatsink, IEEE Photon. Technol. Lett. 17(9), 1767 (2005). [15] M.N. Sysak et al., Hybrid laser technology: a thermal perspective, IEEE J. Sel. Top. Quantum Electron. 17(6), 1490 (2011). Proc. of SPIE Vol. 10122 101220H-8