Advancing Industry Productivity

Similar documents
It s Time for 300mm Prime

SEMI/Equipment Suppliers Productivity Working Group 450 mm Economic Findings and Conclusions

W ith development risk fully borne by the equipment industry and a two-year delay in the main

ISMI Industry Productivity Driver

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Software Production in Kyrgyzstan: Potential Source of Economic Growth

Shell Project Delivery Best Practices Dick L. Wynberg, GM NOV Projects Integrated Gas Shell Global Solutions International B.V

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Economic Model Workshop, Philadelphia

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Lithography Industry Collaborations

The 26 th APEC Economic Leaders Meeting

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

2010 IRI Annual Meeting R&D in Transition

Copper To The World 2018

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

21 st Annual Needham Growth Conference

Intel Technology Journal

The German Private Equity Market 2017 and Outlook for Bundesverband Deutscher Kapitalbeteiligungsgesellschaften e.v. (BVK)

A Dynamic Analysis of Internationalization in the Solar Energy Sector: The Co-Evolution of TIS in Germany and China

ISMI 450mm Transition Program

EVCA Strategic Priorities

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Brazil Shareholder visit 2016 Re-shaping Shell, to create a world-class investment case

Dynamics of National Systems of Innovation in Developing Countries and Transition Economies. Jean-Luc Bernard UNIDO Representative in Iran

2018 IIF ANNUAL MEMBERSHIP MEETING

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook

Mid/Long-Term Management Policy

PATENT PROPERTIES ANNOUNCES SECOND QUARTER 2015 RESULTS. Announces Name Change to Walker Innovation Inc.

ARTEMIS The Embedded Systems European Technology Platform

2018 IIF ANNUAL MEMBERSHIP MEETING

The SEMATECH Model: Potential Applications to PV

GE OIL & GAS ANNUAL MEETING 2016 Florence, Italy, 1-2 February

Annual General Meeting May CEO Presentation

4Q02 Update: Semiconductor Capacity Still on Hold

TAIWAN EXCHANGE STOCK NO : December 26,2018

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

KKR & Co. L.P. Goldman Sachs U.S. Financial Services Conference: December 6, 2017

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

IMPACT OF 450MM ON CMP

3Q03 Silicon Wafer Update: Demand Continues Recovery

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

IPCC Working Group 3

Pan-Canadian Trust Framework Overview

SCAR response to the 2 nd Foresight Expert Group Report

Confirms 2013 Financial Guidance

Overview of Venture Equity

1Q04 Update: Silicon Demand Will Move to a Full Recovery

2013 HALLIBURTON. ALL RIGHTS RESERVED.

4 th Quarter Earnings Conference Call

Silicon Wafer Demand Outlook: Forecast Update, 2Q03

Electrical Products Group Conference

Third Quarter CY 2012 Results

4 th Quarter Earnings Conference Call

Business Update Shell Trinidad and Tobago. Colette Hirstius GM Developments

Introduction to VLSI ASIC Design and Technology

The Role of Public Procurement in Low-carbon Innovation in Infrastructure

UN-GGIM Future Trends in Geospatial Information Management 1

MSMEs' Competitiveness and Innovation in the Digital Age

Globalisation increasingly affects how companies in OECD countries

ISMI 450mm Transition Program

IMI Labs Semiconductor Applications. June 20, 2016

Industry Outlook September 2015

Buenos Aires Action Plan

Nikon Medium Term Management Plan

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

Silicon Wafer Demand Forecast Update, 4Q03

Capital One Securities, Inc.

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance

Please send your responses by to: This consultation closes on Friday, 8 April 2016.

Investor Index & Market Survey

Delivering structural shell V126 at half the capex. by Rob Sauven, VP, Wind Capture Systems

We apply nanomanufacturing technology to improve the way people live

Climate Change Innovation and Technology Framework 2017

Innovation in Europe: Where s it going? How does it happen? Stephen Roper Aston Business School, Birmingham, UK

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Intel s Role in Digital Transformation

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

EUV Supporting Moore s Law

Second Quarter 2013 Results August 1, 2013

First Quarter 2013 Results May 8, 2013

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED

The Triple Bottom Line for London

Third Quarter CY 2010 Results. November 04, 2010

2 nd Quarter Earnings Conference Call

GLOBAL ICT REGULATORY OUTLOOK EXECUTIVE SUMMARY

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

The Development of the Semiconductor CVD and ALD Requirement

Scienti c Games Debuts First Skill- Based Slot Machine with Classic Arcade Video Game Favorite -- SPACE INVADERS

Tourism research and policy

Semiconductor Industry Perspective

APEC Internet and Digital Economy Roadmap

Expression Of Interest

Global Industrial Robot Market: Industry Analysis & Outlook ( )

Monolith Semiconductor Inc. ARL SiC MOSFET Workshop 14 August 2015

General Assembly. United Nations A/63/411. Information and communication technologies for development. I. Introduction. Report of the Second Committee

Anadarko Basin Drilling Learning Curves Drivers. Pete Chacon

Operational Intelligence to deliver Smart Solutions

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Transcription:

Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P

Safe Harbor Statement This presentation contains forward-looking statements, including those relating to the outlook for the semiconductor industry and R&D investment. These statements are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements including, without limitation: the sustainability of demand in the semiconductor and semiconductor equipment industries, which is subject to many factors, including global economic conditions, business spending, consumer confidence, demand for electronic products and integrated circuits, and geopolitical uncertainties; customers capacity requirements, including capacity utilizing the latest technology; the timing, rate, amount and sustainability of capital spending for new technology, such as 300mm and sub- 100 nanometer applications; and other risks described in Applied Materials SEC filings on Forms 10-K, 10-Q and 8-K. All forward-looking statements are based on management s estimates, projections and assumptions as of October 12, 2006, and Applied Materials undertakes no obligation to update any such statements. 2

Agenda Why are we here? What have we done? Is there a basis for moving forward? 3

The Productivity Challenge Average Fab Costs per Transistor Source: ISMI 4

The Challenge Revisited Average Fab Costs (μ- /transistor) $10.000 Modeled (ISMI) 1993-99: -29% 1993-99: -29% /2002-2012: -28% $1.000 $0.100 $0.010 $0.001 1993 1998 2003 2008F 2013F Source: Applied analysis of ISMI s Economic Model 5

Oh, By the Way The standard deviation of the annual transistor cost trend is 12% A 1%-2% difference in slopes is statistically insignificant A 1%-2% difference in slopes is also minuscule compared to the level of uncertainly in the thousands of assumptions built into the ISMI Economic Model 6

The Challenge Revisited Average Fab Costs (μ- /transistor) $10.000 Modeled (ISMI) 1993-99: -29% 1993-99: -29% /2002-2012: -28% $1.000 $0.100 $0.010 $0.001 1993 1998 2003 2008F 2013F Source: Applied analysis of ISMI s Economic Model 7

The Challenge Interpreted We have discovered and confirmed via sensitivity analysis the root cause of the (minor) shift of the 2000 s In order to extend the extraordinarily rapid rate of reduction in cost/ transistor during the late 1990 s we need to extend the extraordinary market/economic conditions of the late 1990 s Rapid demand growth funding accelerated technology progress We can t extrapolate the effect without extrapolating the cause! 8

Economic Implications 174 Fewer Fabs: Revenue loss to equipment industry: $261B Historical Extrapolation Source: ISMI analysis, JPWG, May 2006 9

Equipment R&D Gap $16 $12 Historical R&D Spending Projected R&D Demand (200/300mm only) Affordable R&D Forecast R&D ($B) $8 $4 >$20B Short By 2012 $0 '86 '88 '90 '92 '94 '96 '98 '00 '02 '04 '06E '08F '10F Note: Affordable R/D forecast assumes 14% of equipment industry revenues Sources: S&P, SIA, SEMI, Infrastructure Advisors 10

Spec for the Analytical Tool Simple, transparent models few variables less precise but more accurate and correct easy/quick to calculate and adjust Single vertically-integrated company Affordable investment based on cost/benefits and expected risks and return 11

General Approach Total Affordability View Economic Spec Screening Cost/ Benefit Evaluation Industry Development View High-Level Cost/Benefit Experimentation/ Demonstration Portfolio View 12

Economic Spec Economic Context for Fab Initiatives Max. Affordable Investment ($B) $12 $10 $8 $6 $4 $2 $0 10% 20% 30% 40% 50% Adoption Rate: Long-term Penetration Per-Wafer Gain (net, % of CoO) 25% 20% 15% 10% 5% Note: 20% required rate of return; 7-year development time 13

Economic Impact of Wafer Size Transition Manufacturing Cost Trend Mfrg Costs ($/Cm 2 ) 4.0 3.8 3.6 3.4 3.2 3.0 2.8 2.6 2.4 2.2 2.0 200mm 300mm 500 350 250 180 130 90 65 45 Note: Year 3 of production, Leading Edge Memory Source: Applied analysis of ISMI s Economic Model Technology Generation (nm) 14

Case 1: Implications: 450mm Economic Context for Fab Initiatives Max. Affordable Investment ($B) $12 $10 $8 $6 $4 $2 $0 10% 20% 30% 40% 50% Adoption Rate: Long-term Penetration Per-Wafer Gain (net, % of CoO) 25% 20% 15% 10% 5% Note: 20% required rate of return; 7-year development time 15

Case 2: 300mm Prime Cost/Benefit Economic Context for Fab Initiatives Max. Affordable Investment ($B) $12 $10 $8 $6 $4 $2 $0 10% 20% 30% 40% 50% Adoption Rate: Long-term Penetration Per-Wafer Gain (net, % of CoO) 25% 20% 15% 10% 5% Note: Illustration of small-lot impact 16

Case 3: Technology Cost/Benefit Economic Context for Fab Initiatives Max. Affordable Investment ($B) $12 $10 $8 $6 $4 $2 $0 10% 20% 30% 40% 50% Adoption Rate: Long-term Penetration Per-Wafer Gain (net, % of CoO) 25% 20% 15% 10% 5% Note: Illustration of one-time, 1-year acceleration in pace of technology 17

Summary of JPWG Status (1/2) Topic Agreement Disagreement Slope of cost per xtor vs. time trend Root cause for slow down in decline of cost per transistor vs. time R&D Funding Gap Not changing from -29% to -22% Pace of technology advance (as funded by demand growth) R&D gap is increasing, requiring us to set priorities Changing to -26% or -28% What is key concern: Only IC maker R&D, or IC maker & equipment supplier 300 mm Prime This should be the focus of the industry 300mm Prime is a 300mm productivity program, or a 450mm transition plan New initiative proposals Screen with simple, transparent model intrinsic cost /benefit, rate of penetration and investment Benefits: industry-wide or sector silo/parochial view IEM as golden standard, or as a tool to be tested/challenged 18

Summary of JPWG Status (2/2) Topic Agreement Disagreement Industry Productivity Continuous improvement is critical to the industry Definition of productivity Cost per unit area Cost per transistor Cost per function Entitlement: technology advancement, or other sources Productivity goals: edict or analysis 19

Agenda Why are we here? What have we done? Is there a basis for moving forward? 20

ISMI Economic Model Demand level & mix (from Semico) + assumed technology & productivity trends capacity allocation to installed base of fabs invest to bridge gap overlay business cycle Very large # of assumptions, some of which are not verifiable Fab owner perspective Static fab model Top-down, centrally planned, no competition Limited data for most advanced processes 21

22