Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

Similar documents
Supporting Information 1. Experimental

Ion Beam Lithography next generation nanofabrication

Nanoscale Fabrication & Characterization Facility. Raith e-line EBL Users Guide (updated:aug 2 nd, 2017)

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Sub-10 nm structures written in ultra-thin HSQ resist layers, using Electron Beam Lithography

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Demo Pattern and Performance Test

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Spin transport and dynamics in magnetic insulator/metal systems Vlietstra, Nynke

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

Process Optimization

Micro- and Nano-Technology... for Optics

Waveguiding in PMMA photonic crystals

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Development of Nanoimprint Mold Using JBX-9300FS

Chapter 3 Fabrication

i- Line Photoresist Development: Replacement Evaluation of OiR

MICRO AND NANOPROCESSING TECHNOLOGIES

Quantized patterning using nanoimprinted blanks

Part 5-1: Lithography

Optolith 2D Lithography Simulator

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

Development of PDI plates for Industrial Applications

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

Defect printability of thin absorber mask in EUV lithography with refined LER resist

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Analysis and Correction of Three-Dimensional Proximity Effect in Binary. E-beam Nano-Lithography. Kasi Lakshman Karthi, Anbumony

KMPR 1010 Process for Glass Wafers

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp.

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Feature-level Compensation & Control

Lecture 13 Basic Photolithography

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Micro- and Nano-Technology... for Optics

Zone-plate-array lithography using synchrotron radiation

Supporting Information. Holographic plasmonic nano-tweezers for. dynamic trapping and manipulation

Structural, optical, and electrical properties of phasecontrolled cesium lead iodide nanowires

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

DOE Project: Resist Characterization

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Major Fabrication Steps in MOS Process Flow

Heidelberg µpg 101 Laser Writer

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

Photolithography Technology and Application

DEVELOPMENT PROCESS FOR PVCz HOLOGRAM

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

OPC Rectification of Random Space Patterns in 193nm Lithography

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

EE 143 Microfabrication Technology Fall 2014

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Design Rules for Silicon Photonics Prototyping

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Norsam Technologies, Inc. Ultra-High Density Analog and Digital Data Storage

Supplementary information for

State-of-the-art device fabrication techniques

CHAPTER 2 Principle and Design

Characterization of a Thick Copper Pillar Bump Process

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Contrast Enhancement Materials CEM 365HR

RAITH e-line OPERATING INSTRUCTIONS

(ksaligner & quintel resolution)

Positive-Tone Photosensitive Polyimide Coatings for Lens Layer in image sensors. Introduction of the characteristic of CS-series

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Innovation Creativity Customer-specific solutions. Product information. Positive E-Beam Resists AR-P 6200 (CSAR 62)

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

New high fill-factor triangular micro-lens array fabrication method using UV proximity printing

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

What s So Hard About Lithography?

Design of Sub-Wavelength Color Filters Design and Simulation with the RSoft Tools Synopsys, Inc. 1

REPORT DOCUMENTATION PAGE

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Super-resolution imaging through a planar silver layer

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Generating integrated-circuit patterns via cutting and stitching of gratings

plasmonic nanoblock pair

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Figure 1 The Raith 150 TWO

Proposed Adaptive Optics system for Vainu Bappu Telescope

Progresses in NIL Template Fabrication Naoya Hayashi

A process for, and optical performance of, a low cost Wire Grid Polarizer

Transcription:

CeNSE restricted NNFC-TN 2017/001 Technical Note CENSE-NNFC-2017/001 Issued: 03/2017 Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography Sreedhar Babu, Anita CeNSE, NNFC, Indian Institute of Science. e-mail: sreedhar@cense.iisc.ernet.in i

Title: Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography Author(s): Reviewer(s): Technical Note: Sreedhar Babu, Anitha Vijayaraghavan.M.N CENSE-NNFC-2017/001 2

Table of Contents Introduction... 4 Objectives... 4 Experimental details... 4 Results and discussion... 5 Area mode line patterns optimization 5 Typical EBL patterns optimization... 7 Conclusion... 9 References... 10 Table of Figures FIGURE 1: FEATURES AT 20KV EHT; TARGETED L/S:60NM/ 60NM, ACHIEVED : 64NM/47NM... 5 FIGURE 2: 2 FEATURES AT 20KV EHT; TARGETED L/S:40NM/ 80NM, ACHIEVED : 59NM/51NM... 5 FIGURE 3: IMPACT OF ELECTRON BEAM DOSE ON CRITICAL DIMENSIONS AT 20KV EHT WITH DIFFERENT L/S... 6 FIGURE 4: IMPACT OF ELECTRON BEAM DOSE ON CRITICAL DIMENSIONS AT 30KV EHT WITH DIFFERENT L/S... 6 FIGURE 5: FEATURES AT 30KV EHT; TARGETED L/S:60NM/ 60NM, ACHIEVED : 61NM/59NM... 7 FIGURE 6: FEATURES AT 30KV EHT; TARGETED L/S:40NM/80NM, ACHIEVED : 48NM/66NM... 7 FIGURE 7: IMPACT OF EHT ON CRITICAL DIMENSIONS WITH DIFFERENT L/S... 7 FIGURE 8: TYPICAL OPTIMISED E-BEAM LITHOGRAPHY PATTERNS... 8 FIGURE9: E-BEAM EXPOSURE PARAMETERS FOR DIFFERENT PATTERNS...9 3

Introduction Poly Methyl Methacrylate (PMMA) is the positive tone resist and it is most popular and promising resist for very high resolution patterns in electron beam lithography. It is available with different solvents with many concentrations. In the present work various high resolution e-beam patterns are optimised using PMMA 950KA4 (Molecular weight:950k, A: Anisole solvent, Resist Concentration: 4%) and mainly focused on area lines optimization at various resolutions using different EHT. Objectives 1. To understand the optimum electron dose for various high resolution patterns in electron beam lithography 2. To investigate the impact of electron beam dose on critical dimensions at different EHT voltages Experimental details E-Beam Lithography exposures is carried out in Raith PIONER system. PPMA950KA4 resist spin coated on Si wafer with the speed of 6000rpm for 45 seconds and thickness is about 180 to 200nm. Sample is prebaked on hot plate at 170 C for 120 seconds. Area lines of different width and different spacing are exposed at 10kV, 20kV and 30kV EHT using 20μm aperture. Some specific high resolution patterns like squares, hexagon structures and circles optimised using 20kV EHT with 10μm aperture. After e-beam exposure, samples are developed in MIBK: IPA (1:3) developer for 45seconds and 15sec dip in stopper(ipa). Impact of electron beam dose on critical dimensions is studied and plotted as shown in Figure.3 and Figure.4. Results and discussion Optimization of Area mode line patterns: The Critical dimensions(cd) using 20kV and 30kV EHT are optimized and shown in below figures and CD Vs Dose results are shown in Figure.3&4 4

figure.4.. High resolution Patterns at 10Kv EHT are much broader than the targeted feature size some features are completely merged. At 20kV EHT patterns are little broader than the targeted critical dimensions even at the optimum electron dose see figure1 and 2. At lower electron doses patterns are under exposed then pattern width is increasing from the optimum electron dose to higher dose. Some of targeted critical dimensions are achieved using 30kV EHT. This could be due to the penetration of electron beam through the resist with less scattering at higher EHT. Impact of EHT on critical dimensions is shown in figure.7. Figure.1 Features at 20kV EHT; Targeted L/S:60nm/ 60nm, Achieved : 64nm/47nm Figure.2 Features at 20kV EHT; Targeted L/S:40nm/ 80nm, Achieved : 59nm/51nm Same critical dimensions with different spacing have different optimum electron doses. As shown in figure 3, the optimum electron dose for L/S=60/60 is ~160µC/cm 2 and for L/S=60/120 is ~195µC/cm 2. This variations in dose could be due to the proximity effect. The optimum dose for L/S=60/60 pattern at 20kV EHT is ~160µC/cm 2 and the optimum dose for L/S=60/60 pattern at 30kV EHT is ~220µC/cm 2. This indicates that the optimum dose for a pattern is varies with EHT. This is also is due to less scattering at higher EHT. Higher dose is required to cover the targeted feature at higher EHT. 5

Figure 3. Impact of electron beam dose on critical dimensions at 20kV EHT with different L/S Figure 4. Impact of electron beam dose on critical dimensions at 30kV EHT with different L/S 6

Figure.5 Features at 30kV EHT; Targeted L/S:60nm/ 60nm, Achieved : 61nm/59nm Figure.6 Features at 30kV EHT; Targeted L/S:40nm/80nm, Achieved : 48nm/66nm Figure 7 Impact of EHT on critical dimensions with different L/S Optimization of other typical E-Beam lithography patterns: Other than the area line patterns, some of other typical high resolution structures like squares, circles and hexagons are optimized. Dimensions and patterning parameters are tabulated below. Circles can be patterned by two exposure methods, one is area mode exposure another one single pixel dot exposure method. Among the two methods, single pixel dots can give minimum features compared to area mode circles. 7

A) High resolution Hexagon structures B) Cirles using single pixel dot exposure C) Cirles using single pixel dot exposure D) Square patterns E) Area mode circles F) Area mode lines Figure 8: Typical optimised e-beam lithography patterns 8

Area mode exposure circles will have smoother curves than the single pixel dot exposure circles. In area mode exposure, multiple beam incidence occurs on ER coated substrate to pattern/cover the feature as per the design. Each single ebeam spot causes some scattering around the spot, Consequently more resist area than the required feature can be defragmented. So that the feature size will be more than the actual design. This can be avoided by using higher EHT with lower aperture to achieve minimum features with smoother edges. Pattern Description Hexagon structures Targeted Critical dimension and space(nm) Achieved Critical dimension and space(nm) EHT, Aperture Dose 200/100 220/50 20kV, 10µm 150µC/cm 2 Circles 100/100 120/60 20kV, 10µm 200µC/cm 2 Squares 100/100 110/70 20kV, 10µm 250µC/cm 2 Circles by Single pixel dot design Circles by Single pixel dot design 10/500 13/500 20kV, 10µm 0.006pc 100/100 100/100 20kV, 10µm 0.04pc Area lines 80/80 80/80 30kV, 20µm 220µC/cm 2 Figure 9. E-Beam Exposure parameters for different patterns Conclusion In this document, we showed our recent optimization activities to achieve high resolution e-beam lithography patterns using PMMA950kA4 resist and studied the influence of EHT voltage and exposure dose on high resolution patterns. Parameters are optimized for critical dimensions like L/S:60nm/60nm and also 9

for different kind of structures. We will continuously been improving the patterns quality such as line edge roughness control and proximity effect control. References 1. Mohammad Ali Mohammad, Fundamentals of Electron Beam Exposure and Development, springe/in/book/9783709104231 2. Wenchuang, ultrahigh resolution electron beam lithography for molecular electronics 3. Kunal Promode Ghosh, Process Optimization on Raith-150 TWO E- Beam Lithography Tool for sub-100nm CMOS device fabrication, Department of Electrical Engineering Indian Institute of Technology, Bombay Oct 2009. 4. James S. Greeneich Developer Characteristics of Poly-(Methyl Methacrylate) electron resist,electronics Department, General Motors Research Laboratories, Warren, Michigan 48090 **** 10