International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

Similar documents
Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Ultra Low Power VLSI Design: A Review

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power Techniques for SoC Design: basic concepts and techniques

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

An Overview of Static Power Dissipation

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Leakage Current Analysis

Optimization of power in different circuits using MTCMOS Technique

Ruixing Yang

A Survey of the Low Power Design Techniques at the Circuit Level

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Comparison of Power Dissipation in inverter using SVL Techniques

Implementation of dual stack technique for reducing leakage and dynamic power

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Leakage Power Reduction by Using Sleep Methods

POWER GATING. Power-gating parameters

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Low Power Design for Systems on a Chip. Tutorial Outline

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Leakage Power Reduction in CMOS VLSI

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Leakage Power Reduction Using Power Gated Sleep Method

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Leakage Power Reduction in CMOS VLSI Circuits

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Power dissipation in CMOS

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION

ISSN:

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

A Novel Low-Power Scan Design Technique Using Supply Gating

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

DESIGN OF LOW-POWER ADDER USING DOUBLE GATE & MTCMOS TECHNOLOGY

Improved DFT for Testing Power Switches

CHAPTER 3 NEW SLEEPY- PASS GATE

UNIT-II LOW POWER VLSI DESIGN APPROACHES

FTL Based Carry Look ahead Adder Design Using Floating Gates

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Lecture 13 CMOS Power Dissipation

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Low Power Design of Successive Approximation Registers

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Performance of Low Power SRAM Cells On SNM and Power Dissipation

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Low-Power Digital CMOS Design: A Survey

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Transcription:

Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University, Tamil Nadu Abstract The leakage current, power and area have become import parameters incircuit designing as the technology is scaling.leakage power has become one of the most critical design concerns for the system level chip designer. While lowered supplies and hence lowered threshold voltage) and aggressive clock gating can achieve dynamic power reduction, these techniques increase the leakage power and, therefore, causes its share of total power to increase. The basic gates such as inverter, NAND, and NOR are important elements in digital circuits.gate length biasing is a method to optimize the design by varying the gate length so as to decrease power dissipation. In the current technology, the leakage power is the major contributor to the total power consumption. Power gating and clock gating are technique which are used to reduce the leakage power by switching off the unused transistors and clock using sleep transistor technique. keywords standard cell, gate length, power dissipation, sleep transistor, power gating, clock gating, leakage power I.INTRODUCTION Energy dissipation in CMOS designs is mainly of two type s.its either static or dynamic. [1]. The dynamic energy dissipation includes switching energy due to charging and discharging of load capacitances, and short energy due to a direct-path from supply voltage to the ground. The short energy dissipation can usually be ignored. Static energy dissipation is caused by leakage currents of MOS devices. Leakage is become an ever-increasing components of total dissipated power with its contribution projected to increase from 18% at 130nm to 54% at 65nm node [2].Leakage is composed of three major components - subthreshold leakage, gate leakage, reverse-biased drain substrate and source-substrate junction band-to-band tunneling leakage [4]. Subthreshold leakage is the dominant contributor to the total leakage [4]. Although process scaling reduces the energy needed to perform a given computation since wires and transistors are smaller, it increases power dissipation per unit area and therefore the overall power for a given die size. A. Gate Length Biasing Of Standard Cell II. TECHNIQUES TO REDUCE THE POWER DISSIPATION IN CMOS CIRCUITS Subthreshold leakage is the dominant contributor to totalleakage at 130nm and remains so in the future [4]. PDP (Power Delay Product) metric provides a good compromise between speed and delay, which is written as [1] EDP E t delay The basic gates such as inverter, NAND, and NOR are important elements in digital circuits. In this work, a two input Inverter is optimized using gate length biasing by varying gate length from 180nm to 250 nm. B. Submicron Technique And Optimized Sleep Transistor Micron is the measurement of length. Submicron technology allows billions of transistors on a single die, potentially running at GHz frequencies. Submicron condition is sub threshold condition.this method employs power gating.power gating is one of 23

the techniques in circuits whichis used to reduce the leakage power by shutting off the idle logicblocks using sleep transistors. Different power gating methodsare available now. These helps in reducing the power, delay andswitching time of the logics.this method enables the control logic to turn off selected components in design during the inactive state. The components are invoked again when any activity is detected. Power gating when combined with other techniques such as RBB can achieve more than 100 times less leakage power in sleep mode[7]. In this work, several basic standard cell inverter is simulated with different gate lengths and delay and power is calculated.all simulation are performed using Spectre in cadence and delay and power are calculated.also a RTL-to-GDSII design of a 4 bit accumulator is generated using RTL synthesis NClaunch and SOC encounter.then Sub micron technique is used to design a low leakage accumulator.power gating is implemented. III. GATE LENGTH BIASING METHODOLOGY For short channel devices, with increasing of the gate length, the threshold voltage increases, so that the leakage decreases exponentially and delay increases linearly. The gate-length biasing (GLB) technology increases the channel length of transistors to alter the threshold voltage and reduces leakage exponentially in both active and standby modes, while delay increases linearly with increasing gate length [6].In this work atestcase is designed in cadence virtuoso 9.1.The design is of two input inverter in 180nm.Spectre simulation is performed.delay is calculated and leakagepoweriscalculated. Fig1.Schematic of two input inverter in cadence virtuoso 9.1 Fig2.Testcase of inverter in cadence. Spectre simulation is performed in cadence.dc and Transient analysis are performed. 24

Fig3. DC and Transient analysis of inverter using spectre simulation Next Delay and power dissipation is calculated. Fig 4.Delay calculation using virtuoso tool Next, values of gate length of inverter cell are changed to bating different values of power dissipation and delay. Following are the value of delay and power dissipation calculatedwhen gate length is varied from 180,200,250nm TABLE.1.DELAY AND POWER DISSIPATION VALUE FOR DIFFERENT GATE LENGTH. Gate length(nm) Delay calculation(ps) 180 13.65 61.7 200 15.09 59.7 250 18.89 50.8 Power dissipated(pw) 25

Thus the value of Power dissipation decreases exponentially on increasing the gate length of design As the gate length is increased we observe that leakage power decreases.this concept can be used to design circuits employing standard cells.by using gate length biased cells instead of normal standard cells.this is however at cost of increased delay. Hence optimized design is obtained. IV.SUBMICRON TECHNIQUE AND OPTIMIZED SLEEP TRANSISTOR During inactive states, the devices keep consuming certain power which is dominated by the leakage power consumption of all the components. The designers should provide a mechanism to reduce this leakage power consumption. We are considering the problem of reducing leakage power consumption of ALU by providing a Sleep Mode. Firstly a four bit ALU is designed using RTL synthesis, NClaunch and SOC encounter.the full RTL to GDS flow is performed to obtain the design. RTL to GDS flow Fig 5. Flow diagram of complete RTL to GDS [5] Leakage power is calculated is calculated as 13.757nW Fig 6. Leakage power calculation of 4 bit ALU design. 26

Floorplanning androuting of design are performed in SOC Encounter. Thus ALU is designed as shown in figure 8. Fig 7.floorplanning and power planning of alu Fig 8.Complete design of ALU in SOC encounter V.SLEEP MODE POWER GATING Power-gating technique or sleep mode sub micron technique uses a high Vth sleep transistor in series with the pull-up and/or the pull-down of a low Vth logic block to reduce leakage power. The sleep transistor can be turned off when the low Vth logic block is inactive, thus resulting in a significant reduction of sub-threshold leakage current.a sleep transistor can be a high Vth NMOS or PMOS transistor [7]. A PMOS sleep transistor served as a header switch connects the power network to virtual VDD. An NMOS sleep transistor served as a footer switch connects the ground network to virtual GND. Normally, either a header switch or a footer switch is used to conserve area and reduce timing penalty caused by voltage drop across sleep transistors. 27

The sleep transistors can be implemented in 2 ways called as Header and Footer types as shown in Figure 8. Though both the types achieve the power gating, they have their pros and cons. We discuss these in this section. Fig 9. Sleep transistor implementation using Header and footer methodology[7]. The header switch is implemented by PMOS transistors to control Vdd supply. PMOS transistor is less leaky than NMOS transistor of a same size. The NBTI effect increases Vth over time and makes PMOS transistor even less leaky. Header switches turn off VDD and keep VSS on. As the result, it allows a simple design of a pull-down transistor to isolate power-off cells and clamp output signals in 0 state. The 0 state isolation is complied with reset state requirement in most designs. The disadvantage of the header switch is that PMOS has lower drive current than NMOS of a same size, though difference is reduced by strained silicon technology. As a result, a header switch implementation usually consumes more area than a footer switch implementation. The footer switch is implemented by NMOS transistor to control VSS supply. The advantage of footer switch is the high drive and hence smaller area. However, NMOS is leakier than PMOS and application designs become more sensitive to ground noise on the virtual ground (VVSS) coupled through the footer switch. The isolation on 0 state becomes complex due to loss of the virtual ground in sleep mode and necessity of bypassing footer switch to reach permanent VSS. In the following part of the paper, we shall focus on header switch design and implementations. This work has many transistors to use in the sleep transistor network so considering the area penalty; it is decided to use a footer type of transistor structure. Also some other design decisions made are discussed here. A Local sleep transistor network is used as opposed to Global or cell level transistors. As the gate count of original circuit is about 1450, a cell based design would require equal number of sleep transistors which is a high area overhead. As this circuit is a pure combinational logic, no data retention technique has been used. The output of a power gated circuit needs to be isolated from the next stage of logic as the crowbar currents may create excessive power consumption in next stage. This is done by using a simple circuits like a isolation cells made by AND or OR. Also, clamped pull-up or pull-down transistors can be used. A level shifter approach is also discussed in [7]. The ALU circuit under experimentation is an isolated block with buffers at SUM output to provide output capacitances so we have not implemented the current isolation circuit. One of the most critical decisions in power gating is the design of Sleep Transistor. In this work we have considered a worst case scenario of current through the sleep transistor as a design criterion. The Sleep transistor resistance should be large enough in sleep mode to produce a considerable voltage drop, almost equal to VDD, between GND and Virtual GND. Also the on resistance should be as small as possible as it will have the least effect on discharge path delay and hence on the speed of the circuit. But these requirements always contradict each other because a smaller resistance means wider area of transistor which causes more power consumption so there is always a tradeoff between leakage power saving and speed of the circuit. Considering above worst case current scenario, a Sleep transistor is designed as follows. Delay of a single gate without sleep mode is given as [7] τd = C L V DD V DD V tl α. (1) 28

Where, VDD is the supply voltage, VtL is low level threshold voltages, α is Saturation Velocity Index and CL is the load capacitance. If a sleep transistor of High Vt is introduced, we get delay as τd Sleep = C L V DD V DD Vx V tl α. (2) Where, Vx is the drop across sleep transistor while the circuit is in active mode Allowing 5% overhead in the delay for this design, we get τd τd Sleep = 95%.(3) Solving this equation for α=1.8 gives, the voltage drop across sleep transistor as Vx = 0.0281(V DD V tl ). (4) The current through the sleep transistor is represented approximately. (5) I Sleep μ n C ox W L Sleep (V DD V tl )(V DD V th ) Where, μn is mobility of electrons = 150 cm2/v.s at 90oC, Cox is oxide capacitance = 19.7 X 10-6 F/m for 45nm [7]. So the width over length ratio of a sleep transistor is given by W L Sleep =. (6) I Sleep by 0.0281μ n C ox V DD V tl V DD V th Isleep is calculated by simulating the ALU circuit without sleep transistor network and finding maximum current that flows through ground. VI.CONCLUSION AND FUTURE WORKS We can see that gate length biasing is an effective method to reduce the power dissipation. As gate length is increased from 180nm to 250 nm, the paw dissipated decreases exponentially and delay increases linearly.thus design is optimized. Also a complete RTL to GDS flow of a Accumulator is performed in SOC encounter.we can also see that Power gating sleep mode transistor technique is an effective technique to reduce leakage power consumption of a combinational logic block like ALU during inactive state. Savings can be as much as 99% of the total power consumption with only proper sleep transistor network. The switching currents/ fluctuations can be further reduced by applying a constant low leakage vector at the input of the circuit during sleep mode.in future we can apply this power gating technique to other combinational and sequential blocks like PLA,ROM,RAM etc. Also the area overhead is quite high which can be reduced with selective clustering of transistors in the ALU as a part of future work. References [1] Jan M. Rabaey, Digital Integrated Circuits: A Design Perspective, Prentice-Hall, Inc., Upper Saddle River, NJ, 1996. [2] A. Agarwal, C. H. Kim, S. Mukhopadhyay and K. Roy, Leakage in Nano-Scale Technologies: Mechanisms, Impact and Design Considera- [3] tions, in Proc. ACM/IEEE Design Automation Conference, 2004, pp. 6 11. [4] A. Wang, B. H. Calhoun, and A. P. Chandrakasan., Sub-threshold Design for Ultra Low-Power Systems, Springer, 2006. [5] R. Gonzalez, et al., Supply and threshold voltage scaling for low power CMOS, JSSC, vol 32 (8), pp. 1210-1216, 1997. [6] Cadence Abstract Generator User Guide, Product Version 5.1.41 [7] P. Gupta, A. B. Kahng, P. Sharma, and D. Sylvester, Gate-length biasing for runtime-leakage control, IEEE Trans. on Computer-Aided-Design, Vol.25 (8), pp. 1475-1485, 2006 [8] David Chinnery, Kurt Keutzer, "Closing the Power Gap Between ASIC & Custom, Tools and Techniques for Low-Power Design", chapter 10 authored by Jerry Frenkil, co-author Srini Venkatraman, Springer [9] Y. Ye, S. Borkar and V. De, A New Technique for Standby Leakage Reduction in High-Performance Circuits, in Proc. Symposium on VLSI Circuits, 1998, pp. 40 41. 29