LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Similar documents
OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Reducing Proximity Effects in Optical Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

Experimental assessment of pattern and probe-based aberration monitors

Using the Normalized Image Log-Slope, part 2

Horizontal-Vertical (H-V) Bias

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Topography effects and wave aberrations in advanced PSM-technology

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

optical and photoresist effects

Key Photolithographic Outputs

Depth of Focus, part 2

Extending SMO into the lens pupil domain

Computer Generated Holograms for Optical Testing

Optical Proximity Effects

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Synthesis of projection lithography for low k1 via interferometry

More on the Mask Error Enhancement Factor

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Optical Design with Zemax


Improving registration metrology by correlation methods based on alias-free image simulation

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA

Pupil wavefront manipulation for optical nanolithography

OPC Rectification of Random Space Patterns in 193nm Lithography

Be aware that there is no universal notation for the various quantities.

Managing Within Budget

Introductions to aberrations OPTI 517

Computer Generated Holograms for Testing Optical Elements

Experimental measurement of photoresist modulation curves

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 2

Optical design of a high resolution vision lens

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

EE-527: MicroFabrication

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

GEOMETRICAL OPTICS AND OPTICAL DESIGN

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

16nm with 193nm Immersion Lithography and Double Exposure

Advanced Lens Design

Exam Preparation Guide Geometrical optics (TN3313)

Optolith 2D Lithography Simulator

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Cardinal Points of an Optical System--and Other Basic Facts

MICROCHIP MANUFACTURING by S. Wolf

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Microlens formation using heavily dyed photoresist in a single step

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

APPLICATION NOTE

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

OPTICAL IMAGING AND ABERRATIONS

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation

What s So Hard About Lithography?

Chapter 18 Optical Elements

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

GENERALISED PHASE DIVERSITY WAVEFRONT SENSING 1 ABSTRACT 1. INTRODUCTION

Collimation Tester Instructions

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Lithographic Process Evaluation by CD-SEM

Use of Computer Generated Holograms for Testing Aspheric Optics

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:


Exercise 1 - Lens bending

Performance Factors. Technical Assistance. Fundamental Optics

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

Ron Liu OPTI521-Introductory Optomechanical Engineering December 7, 2009

Camera Resolution and Distortion: Advanced Edge Fitting

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment

Modeling of EUV photoresists with a resist point spread function

Big League Cryogenics and Vacuum The LHC at CERN

Optics of Wavefront. Austin Roorda, Ph.D. University of Houston College of Optometry

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Proximity Effects, part 2

This experiment is under development and thus we appreciate any and all comments as we design an interesting and achievable set of goals.

ECEG105/ECEU646 Optics for Engineers Course Notes Part 4: Apertures, Aberrations Prof. Charles A. DiMarzio Northeastern University Fall 2008

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Finite conjugate spherical aberration compensation in high numerical-aperture optical disc readout

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Transcription:

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh Carl Zeiss, HL-MT D-73446 Oberkochen Germany This paper was first presented at the SPIE Microlithography Seminar March 1996, California, USA

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh Carl Zeiss, HL-MT D-73446 Oberkochen Germany ABSTRACT This paper shows and discusses the use of direct aerial image measurements and optical interferometry for the evaluation of advanced i-line lenses. These measurement techniques provide direct information on the image forming capabilities of a stepper lens such that assessments of field curvature, astigmatism and image asymmetry can readily be accomplished. The interaction with the photoresist is shown by directly using the measured aerial image and aberration data into photoresist modeling programs such as Prolith/2 and Solid-C. The link between the optical measurements and the photoresist processes is further established by a comparison of simulated and measured results. 1. INTRODUCTION Current optical testing for state-of-the-art lithographic systems requires a careful assessment of the overall image quality using a variety of measuring techniques. Stepper manufacturers are faced with a dual set of objectives for image testing. The first is to ensure that complete stepper systems produce acceptable photoresist patterns for the end-user, usually the "chip manufacturer". A necessary requirement to achieve this results in the second objective, that is to ensure the optical performance of the lens is sufficient to guarantee the first objective. The performance of a lens is judged by its ability to accurately place an image volume such that the developed photoresist image maintains its integrity through a wide range of process conditions. The placement of this volume is given as a focus displacement in z and a geometrical distortion displacement in x and y. Measurements of these parameters across a stepper image field can be influenced by system parameters other than the lens. For example, it has been shown in a previous paper [1] that bulk development effects can systematically shift the focal position dependent on the exposure and photoresist thickness. This interaction of process and optical performance results in a loss of confidence in our ability to properly analyze "cause and effect" relationships in a lithographic system. Hence, one would like to independently evaluate the lens, as well as characterizing its imaging performance from the photoresist. This work describes four methods of measuring the optical performance of a lithographic lens and examines the interaction and relationships between them. The first two techniques, through-the-lens interferometry (TTLI) and direct aerial image measurement (DAIM), are independent of photoresist processing. However, we show how the measured parameters can be transformed by photoresist processing using simulations. The third method is the use of thin photoresist and the optical alignment system of an ASM Lithography stepper to determine the focal surface (FOCAL). This technique tends to be insensitive to the influences of photoresist development interactions, and the results are shown to agree with the measurements from TTLI and DAIM. The fourth technique uses the standard scanning electron microscope (SEM) analysis of photoresist. Although, this will be highly interactive with the process, careful analysis can reveal substantial information about the best focal surface and the overall image integrity. TTLI and DAIM are independent systems that are used to quickly and thoroughly evaluate the optical performance of the lens such that iterative adjustments can be made during lens manufacture. FOCAL and SEM are used to verify and correlate this data within the stepper to guarantee overall system performance. We concentrate much of our full-field comparisons on measurements of astigmatism, defined by the difference between horizontal and vertical structures. This is the one primary common metric that is well-behaved in all four measurement techniques. Since astigmatism is a difference, any systematic focus offsets within the measurements due to general system and environmental 1

variables are nulled out. However, we also show correlation using best focal surface where applicable. The measurements collected for this work used two Zeiss i-line test lenses, defined as test lens "A" and "B". Test lens "A" was used for most of the data given in the surface plots. The stepper used was a PAS 5500/100 system. Photoresist simulations and experiments were based on 0.975 µm thick Sumitomo PFI-38a photoresist on silicon except for the FOCAL measurements which used 0.2 µm OCG-895i photoresist on silicon. 2. THROUGH-THE-LENS INTERFEROMETRIC ANALYSIS - TTLI Interferometry has been used for many years for testing lenses. The basic premise of the interferometer is that it measures the deviation of a wavefront from a reference surface. This deviation represents the departure of a real lens from "perfect performance" and results in a description of the wavefront deviation as aberration expansions. The result is a measure of the phase front in the pupil of the imaging lens in terms of aberration coefficients. The reader is referred to the references for details of aberration basics [2] [3]. The interferometer used in this work is based on the expertise that Zeiss has in the optics industry. The interferograms are sampled across the entire pupil plane on a 64 x 64 pixel array for each image field point of interest. The reproducibility (defined with 99.7% confidence) of the aberration coefficients has been found to be around 3 nm. The overall accuracy can be determined using standard procedures [4] separating the systematic errors from the actual wavefront deviations. Here, we estimated that the systematic errors of the aberration coefficients are within 2 nm. Figure1 shows an example of an interferogram as seen at the interferometer. It is usual practice to fit a set of Zernike polynomials to this wavefront for further analysis. Although the aberrations are probably the fundamental measure of the lens characteristics, direct interpretation for lens quality is not trivial for partially coherent systems such as a stepper. Interferometry measures the performance of the lens within the pupil plane of the imaging lens, while lithographic performance is measured at the image plane. This is the fundamental difference between this measurement technique and the subsequent techniques that will follow. The equation below [5] shows, using a linear systems approach, the Figure 1 Example of a wavefront as measured with an i-line TTLI. The P-V is 36nm with an RMS of 6nm. 2

essential relationship between the image within a film such as photoresist and the wavefront aberrations: I( x, y, z) = dα 0 dβ 0 J( α 0, β 0 ) E( x, y, z; α 0, β 0 ) 2, (1) s where the electric field (E) within the film is given by an inverse Fourier transform relationship, that is: E( x, y, z, ; α 0, β 0 ) Ft -1 = Õ( α α 0, β β 0 )P( α,β) F( α,β; z)e ik 0 z 0 γ e ik 0 W ( α, β). in microlithography since the effects of coherence, line structure and orientation are ignored. Various modifications can be made to this figure of merit. Goodman and Gortych [6] defined a line ratio. The line ratio is defined similarly to the Strehl ratio except that a line object replaces the point object. This allows for the incorporation of partial coherence in the calculation. Figure3 shows the calculation of a line ratio using the same lens data, but for horizontal and vertical line structures at an illumination-to-pupil filling of σ = 0.4. The difference between them is a general estimate of the Here, J( α 0,β 0 ) is the effective source distribution in the lens pupil with area S, Õ( α α 0, β β 0 ) is the shifted Fourier Transform of the object, P( α,β) is the lens pupil transmission function, F( α,β; z) is the thin film contribution within the photoresist depth z, e ik 0 z 0 γ is the focus phase term describing the shift of the wafer surface at z 0, e ik 0 W ( α, β) is the aberration phase term describing the deviation from a reference sphere. The overall behavior in the image plane can be found by using the Zernike polynomials to reconstruct the function W(α,β). Then, equation (1) is numerically solved and the results examined either as an aerial image, an image within a photoresist film, or a developed image. Since simulations based on a set of full-field aberration data usually need a substantial amount of CPU time, a classical alternate approach, the Strehl ratio, can be used for image quality assessment. The Strehl ratio is defined by the ratio of the peak image irradiance with aberration to that without aberration, assuming a point object. If the aberrations are small enough, the aberration phase term can be replaced by the first two terms of a Taylor expansion, then the Strehl is well approximated by: Strehl Ratio 1 ---- S2 2, 2 2π where S = -----RMS, λ and the RMS of the wavefront can be calculated directly by a weighted sum of the Zernike coefficients. An example of such a calculation is shown in Figure2 with the Strehl ratio calculated using 121 measured locations across the image field of test lens A with NA = 0.6. Unfortunately, this type of calculation is of marginal value I-07604.EPS Figure 2 Strehl ratio of i-line lens as a function of field position. performance difference between horizontal and vertical structures. Although this calculation is quite rapid, it does not enable us to interpret the interferometer data in terms of image performance using figures of merit such as lithographic astigmatism (defined as the difference in best focus between horizontal and vertical lines), focal surface, and image asymmetry. Such a calculation requires the use of one of the numerous simulation programs that are currently available within the lithographic community. An example is shown in Figure 4, where simulations are given of aerial images through focus for test lens "B" with NA = 0.6 and σ = 0.4 using Solid-C. The object is a 0.4 µm isolated space. The aberration data is taken from 2 field sites with relatively low amounts of aberration (wavefront RMS λ/30) and high amounts of aberration (wavefront RMS λ/19). The high aberration aerial image shows asymmetry about the 3

I-07605.EPS Figure 3 (a) Line ratio for i-line lens with NA = 0.6 and σ = 0.4 using conventional illumination: (a) average of horizontal and vertical lines, (b) difference of horizontal and vertical lines. (b) for a 121 field points using the Solid-C simulator. The advantage of such a simulation is that we have maximum flexibility to understand the impact of the aberrations on the imaging performance with various objects and illumination schemes. The disadvantage is the calculation time. For example, the above calculation took approximately 24 hours on a SUN Spark 5. An alternate method for analyzing field-dependent aberration data is the use of an imaging model given by 3-beam interference. It was shown in a previous paper [1] that, if a change in best focus is only due to radially symmetric aberrations (also known as even aberrations), then for coherent illumination, the change in focus from the Gaussian focus is given as: Figure 4 Aerial image simulations using Solid-C and measured wavefront aberration data for NA = 0.6 and σ = 0.4. Data from 2 field points are shown that exhibit different levels of aberration: a high aberration case with wavefront RMS = λ/19 and a relatively low aberration case with RMS = λ/30. z = W ----------- 1 γ λ 2 where γ = 1 ----------- 4lw 2 (2) z and x axis. This is an indication of relatively large amounts of coma and spherical aberration, respectively. If the best focus in the aerial image is defined as the z-plane where the maximum image irradiance occurs, simulations using full-field aberration data can be done. The result of such a simulation is shown in Figure 5a, where astigmatism is plotted as a function of field position and the linewidth of a 50% duty cycle structure is given by lw. W is the difference between the wavefront of the even aberrations for the 0th and 1st orders (in nm). Figure 5b uses equation (3) to produce an astigmatism map across the field using the interferometric data. This type of analysis is designed to quickly evaluate the interferometric data, that is, the CPU time is in the order 4

I-07607.EPS Figure 5 (a) (b) Lithographic astigmatism (linewidth difference between horizontal and vertical lines) map across stepper imaging field as calculated from rigorous aerial image simulation using Solid-C and measured wavefront aberration: The object is a 0.4 µm isolated space data with NA = 0.6 and σ = 0.4. of seconds for an entire field. However, since this model is only valid for periodic objects with coherent imaging, the applicability to a general variety of structures and illumination distributions is limited. In spite of this, we note that Figures 5a and 5b show similar astigmatism signatures. Previously, in equation (3), it was assumed that only even aberrations effect the focus. Using the simulation program of Solid-C, we test this assumption by simulating a matrix of aerial images through focus, varying 3rd order Zernike coma and 3rd order Zernike spherical aberration. The measurable is the calculation of "best focus" by maximum irradiance. Figure 6 shows the results plotted as a surface. The focus shifts linearly with varying amounts of spherical aberration for low levels of coma (as expected), but as the coma values and spherical values become large there is an interaction. In well corrected lithographic lenses these aberration levels are not reached, and therefore, our use of even aberrations to describe focus shifts is justified for aerial images. The interaction of the wavefront aberrations with photoresist processing can be understood by continuing the simulations through photoresist exposure and development. Figure 7 shows an example of this with exposure-defocus (E-D) plots for 0.4 µm isolated space. The aberration data is identical to that used for the aerial image simulations shown in Figure 4. The iso-linewidth I-07608.EPS Figure 6 Shift in focus due to various amounts of 3rd order Zernike coma and spherical aberration. contours assume a ±10% tolerance. The largest process latitude box that will fit in the E-D contours is included for each figure. Although the focus is shifted by 0.23 µm between the aberration cases, the box for the high aberration case is only marginally smaller. The depth of focus (DOF) for both cases is approximately 1.0 µm, while the exposure latitude for the high aberration case is 5

240.00 Low Aberration 220.00 Relative Exposure 200.00 180.00 160.00 High Aberration I-07609.FRM -1.50-1.00-0.50 0 0.50 1.00 Defocus (µm) Figure 7 Photoresist simulations using Solid-C showing exposure-defocus (E-D) iso-linewidth contours for 0.4 µm isolated space with ±10% linewidth tolerance. Aberration data is identical to that used in Figure 4. Boxes contain maximum process latitude area. 18% as compared to the low aberration case of 21%. This is an indication that photoresist imaging might have an apparent threshold to various levels of aberrations when linewidth is the critical parameter (that is, detrimental imaging occurs only above a certain aberration level). However, other measured parameters, such as photoresist profile asymmetry, slope and thickness can have a greater sensitivity to the aberrations. 3. DIRECT AERIAL IMAGE MEASUREMENT - DAIM The use of direct aerial image measurement in lithography has been shown [7] to be quite useful as a predictor of lithographic performance. The exclusion of photoresist in the measurement has the advantage of directly isolating the optical imaging from the photoresist process. Our system of measurement provides a wealth of information for varied structure sizes and orientations through focus. Figure 8 shows a schematic of our test set-up. The aerial images of isolated spaces and dense line patterns are magnified and measured by a CCD array at multiple z positions in the neighborhood of the Gaussian focus. The result of a measurement is two dimensional irradiance distributions, perpendicular to line structures. An example is shown in Figure 9 with 0.4 µm isolated spaces. The measured field points were chosen to be identical to that used with Figure 4 for comparison. We see in both figures similar image asymmetry for the high aberration case and symmetrical images for the low aberration case. Also, it is noted that the DAIM images appear slightly smoothed. This is a direct result of the measured aerial image being a convolution of the aerial image from the imaging lens and the point spread function of the high NA magnification lens, together with the finite pixel extension of the camera. However, since the overall aberrations of the lenses are small the effects of convolution result in a constant broadening of the aerial image across the image field. This allows for accurate full-field measurements of best focus, as defined by the maximum irradiance. Figures 10a and b show the result of measurements of the image field as plots of the average best focus and astigmatism The object is a 0.4 µm space using NA = 0.6 and σ = 0.4 with test lens "A". 6

Imaging Rays Object (Reticle) Plane Lens under test Pupil Plane Figure 8 T Primary Aerial Image Secondary Aerial Image I-07610.FRM Image (Wafer) Plane Magnifying Lens CCD-Camera Schematic of direct aerial image measurement system (DAIM). Figure 9 Example of measured aerial images for two field points. Measured field sites and parameters are the same as that used for Figure 4. The error of the a measurement of best focus is generally well within ±50nm. The astigmatism signature is very similar to that simulated with the TTLI in Figures 5a and 5b. This gives us confidence that the TTLI and DIAM produce consistant results. In general, the center of mass of the intensity distribution will stay on a straight line through focus. I-07612.EPS (a) (b) Figure 10 DAIM measurements: a) best focus in the image field, averaged over horizontal and vertical lines b) lithographic astigmatism across the image field. 7

I-07613.EPS Figure 11 Full-field plot of the relative aerial image bending through focus across the image field. However, in the presence of odd aberrations (like coma), the lines of equal irradiance are bent above and below the position of best focus. This bending can be quantified by fitting a parabola to the measured data at certain irradiance thresholds. The fitted 2nd order coefficients are directly proportional to the amount of image bending. An example of this is shown in Fig 11, which shows a fullfield plot for test lens "B". The relationship of this bending term must be subsequently calibrated to the end-users process requirements for image asymmetry and is the subject of current work. Comparisons with TTLI simulations show that a level of 0.015 relative image bending corresponds to a 3rd order Zernike coma coefficient of λ/35. The measured aerial image from DAIM can be used as input to photoresist development simulation. Although absolute CD measurements cannot be performed without deconvolution, approximate estimates and trends of best focus, exposure latitude and DOF can be made using photoresist simulations. Using the aerial images in Figure 9 as input into Prolith/2, Fig 12 shows simulated E-D isolinewidth contours through focus. The largest process latitude box is included in the figure. The DOF for both cases is approximately 0.9 µm and the exposure latitude is 12% for the high aberration and 15% for the low aberration. The decrease with exposure latitude with constant DOF is consistent with the TTLI simulations shown in Figure 7. Also, the focus difference of 0.18 µm between the two cases compares favorably with a 0.23 µm difference with the TTLI simulation when the measurement error is taken into account. The apparent difference in absolute values of DOF and exposure latitude are the direct result of convolution smoothing of 180.00 Low Aberration 160.00 Relative Exposure 140.00 120.00 100.00 High Aberration 80.00-1.50-1.00-0.50 0 0.50 1.00 I-07014.FRM Defocus ( µm) Figure 12 E-D iso-linewidth contours simulated by using measured aerial images from Figure 9 into Prolith/2. Boxes contain maximum process latitude. 8

the DAIM data. This causes an error the between the real aerial image and measured aerial image 4. FOCAL SURFACE MEASUREMENT USING THE ALIGNMENT SYSTEM - FOCAL Currently there are a few techniques to do rapid measurement of the focal surface across a stepper image field. The Brunner phase shift mask [8] provides the user with best focus and astigmatism data mainly for isolated lines using developed photoresist structures measured on an off-line detection system. The measurements work best for structure sizes that are at the limit of image modulation. For example with i-line steppers, 0.2 µm-0.3 µm lines exposed with a small σ and high imaging NA gives the highest signal-to-noise. Electrical CD testing provides an alternative, but this requires additional etch processes. The FOCAL technique is similar to the Brunner phase mask in that it gives full-field focus and astigmatism data; however, the reticle is a standard binary chrome mask. This technique is based on the previously reported work of Dirksen [9] where a modified alignment mark is used to translate a focus shift into a horizontal displacement using an ASM Lithography stepper with latent photoresist imaging. The difference here is that we are using thin exposed and developed photoresist (~0.2 µm). The modified alignment mark uses 0.4 µm dense lines with a 50% duty cycle. The resultant position displacement behavior through focus is parabolic; hence, best focus is defined as the extremum of the curve. Exposing a complete field of these marks allows for field mapping of the best focus for horizontal and vertical structures. Figure 13a shows this as the average best focus. Figure 13b gives the difference of horizontal and vertical best focus (lithographic astigmatism). The use of thin photoresist minimizes the effects of bulk development with high NA images. Since focus calculated with FOCAL represents the maximum coupled power into the photoresist, it is closely related to the best focus as defined with DAIM. This is confirmed by the close similarity of Figure 13 to Figure10. The astigmatism signature of FOCAL is also favorable compared to that of TTLI shown in Figure5. 5. OPTICAL PARAMETERS BY SCANNING ELECTRON MICROSCOPE - SEM Unlike aerial images, which give continuous information on the shape of the image, photoresist must use the the variable of exposure to record discrete sections of the image. The information from exposed and developed photoresist is contained in the linewidth and sidewall profile data that is measured by SEM. A frequently used analytical technique is to create plots of linewidth as a function of focus and exposure, that is, a focus-exposure matrix (FEM). The determination of optical parameters from this data, such as focal surface I-07615.EPS (a) Figure 13 Focal measurements: a) best focus in the image field, averaged over horizontal and vertical lines b) lithographic astigmatism across the image field. (b) 9

and astigmatism, is made by a calculation of best focus for several field positions. Since the FEM will include process interactions (that is, bulk development effects), the definition of best focus becomes critical. For example, we can calculate best focus by looking for some extremum, as we do with the aerial image. Alternatively, we can look at centering the overall process window. The best focus from either method will depend on the specifications. Figure 14 gives an experimental example of iso-linewidth contours for a 0.35 µm process with ±10% linewidth specification. The 240.00 2% Exposure Latitude 240.00 15% Exposure Latitude Linear Exposure (mj/cm 2 ) 220.00 200.00 180.00 Astigmatism = 13nm 220.00 200.00 180.00 Astigmatism = 100nm -1.00-0.50 0 0.50 1.00 1.50 Defocus ( µ m) I-07616.FRM -1.00-0.50 0 0.50 1.00 1.50 Defocus ( µ m) Figure 14 E-D iso-linewidth contours for 0.35 µm horizontal (solid) and vertical (dashed) dense lines using NA = 0.56 and σ = 0.7. The process latitude boxes are based on 2% and 15 exposure latitudes. AST H-V [µm] 0.3 0.2 0.1 0-0.1 SEM #A SEM #B Focal -0.2-0.3 0 1 2 3 4 5 6 7 8 I-07617.FRM Field Point Figure 15 Comparison of astigmatism measured with SEM and FOCAL. SEM #A uses a calculation of best focus based on the process center while SEM #B uses a parabolic fit of linewidth through focus to derive best focus. 10

process latitude boxes are calculated for an exposure latitude of 2% and 15% for horizontal and vertical structures. The apparent change in the astigmatism using identical data sets is due to the change in definition. This is a frequent problem with determining optical parameters from photoresist data. The definition of best focus must be closely aligned to the subsequent use of the information. For example, best focus for a chip process may be defined as the center of the process. However, to determine optical characteristics, an extremum is probably more appropriate since the measured data is usually based on some maximum or minimum. Often it can be extremely time consuming and unnecessary to create FEMs for every field-point and orientation. If we use only one exposure (a "nominal") and plot linewidth as a function of focus, best focus can be defined as the center of the linewidths within specification. Figure 15 shows the comparison of best focus for nine field points calculated by using a center specification and extremum definition with SEM data. This is shown with FOCAL results. The small disagreements can be due to the definitions of best focus. In general for focus values close to an optical image, the use of the extremum definition is preferred. 6. DISCUSSION AND CONCLUSION Lithographic systems consist of photoresist components and optical components which can not be fully decoupled with standard photoresist measurement techniques. However, the optical characteristics of the imaging system can be substantially examined by TTLI and DAIM analysis which do not depend on photoresist processing, and therefore directly decouple the process from the optics. 7. ACKNOWLEDGMENTS We gratefully acknowledge the work of the following individuals: G. Richter and M. Krämer at Zeiss, J. de Klerk and G. Davies at ASML, and S. Verbrugh at Philips. 8. REFERENCES [1] D. G. Flagello and R. Rogoff, The influence of photoresist on the optical performance of high NA steppers, Proc. SPIE 2440, 1995. [2] M. Born and E. Wolf, Principles of Optics (Pergamon Press, Oxford, UK, 1980). [3] W. Welford, Aberrations of Optical Systems (Adam Higer, Bristol, UK, 1986), [4] D. Malacara, Optical Shop Testing (Wiley, New York, 1978). [5] D. G. Flagello et. al., Theory of high-na imaging in homogeneous thin films, JOSA A 13, 53-64(1996) [6] Goodman and Gortych, Height and positions of maxima of partially coherent images of clear lines, Applied Optics 33, 2069-2074 (1994). [7] C. H. Fields et. al., Aerial image measurements on a Commercial Stepper, SPIE 2197, p.585. [8] T.Brunner et. el., Quantitative Stepper Metrology Using the Focus Monitor Test Mask, SPIE 2197, p.547, 1994. [9] P. Dirksen,. Latent image metrology for production wafer steppers, Proc. SPIE 2440, p.701-710. We have presented some methods of data analysis that contribute to a better understanding of field dependent optical imaging performance. The measurements using TTLI, DIAM and FOCAL all extensively sample the image field to provide rapid quantitative assessment of the focal surface, astigmatism, image symmetry and quality.the use of simulation allows this data to be predictive estimates of lithographic performance such as DOF. We have also shown that fullfield measurement techniques using thin photoresist such as FOCAL can successfully represent the optical performance without major impact from photoresist process effects. The use of the traditional SEM measurement has limited ability in determining exact optical performance, but is a better indicator of overall system capability. 11