A Novel Low Power Optimization for On-Chip Interconnection

Similar documents
An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Methods for Reducing the Activity Switching Factor

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique

UNIT-II LOW POWER VLSI DESIGN APPROACHES

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

LOW-POWER design is one of the most critical issues

A Novel Latch design for Low Power Applications

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

Design of a Capacitor-less Low Dropout Voltage Regulator

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

THE GROWTH of the portable electronics industry has

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

Optimization of energy consumption in a NOC link by using novel data encoding technique

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip

Low Power Design of Successive Approximation Registers

NEW WIRELESS applications are emerging where

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

DESIGN OF A LOW-VOLTAGE AND LOW DROPOUT REGULATOR WITH ASSISTANT PUSH-PULL OUTPUT STAGE CIRCUIT

ECEN 720 High-Speed Links: Circuits and Systems

A Low Power Single Phase Clock Distribution Multiband Network

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

Implementation of High Performance Carry Save Adder Using Domino Logic

IN RECENT years, low-dropout linear regulators (LDOs) are

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

LSI and Circuit Technologies for the SX-8 Supercomputer

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

AS very large-scale integration (VLSI) circuits continue to

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Downloaded from edlib.asdf.res.in

Adiabatic Logic Circuits for Low Power, High Speed Applications

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

A 3-10GHz Ultra-Wideband Pulser

Lecture #2 Solving the Interconnect Problems in VLSI

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Static Energy Recovery Adiabatic Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

ISSCC 2004 / SESSION 21/ 21.1

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

ECEN 720 High-Speed Links Circuits and Systems

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

IT HAS become well accepted that interconnect delay

Design Of Level Shifter By Using Multi Supply Voltage

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

Streamlined Design of SiGe Based Power Amplifiers

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

ISSN:

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Design of CMOS Based PLC Receiver

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology

Application of Fuzzy Logic Controller in UPFC to Mitigate THD in Power System

11 LEVEL SWITCHED-CAPACITOR INVERTER TOPOLOGY USING SERIES/PARALLEL CONVERSION

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

5Gbps Serial Link Transmitter with Pre-emphasis

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

ISSN:

WITH the growth of data communication in internet, high

A high-efficiency switching amplifier employing multi-level pulse width modulation

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A Monotonic Digitally Programmable Delay Element for Low Power VLSI Applications

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

An Enhanced Design Methodology for Resonant Clock. Trees

CS 250 VLSI System Design

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

A Review of Clock Gating Techniques in Low Power Applications

Ultra Low Power VLSI Design: A Review

Design of Low Power Reduced Area Cyclic DAC

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

To learn fundamentals of high speed I/O link equalization techniques.

A new class AB folded-cascode operational amplifier

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Design of Low Power Double Tail Comparator by Adding Switching Transistors

Implementation of Current Reuse Structure in LNAUsing 90nm VLSI Technology for ISM Radio Frequency System

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Design of Low Power Wake-up Receiver for Wireless Sensor Network

International Journal of Modern Trends in Engineering and Research

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

Transcription:

International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics and Communication Engineering, P.A. College of Engineering and Technology, Coimbatore-642 002, India Abstract- This paper presents a low power design methodology for the Quasi Resonant Interconnection networks (QRN). This focuses mainly on reducing the power utilized at the receiver by replacing the existing delay element by a digitally controlled delay element. The analysis and design of the transmitter, receiver and the Interconnect and spiral inductor models are presented using 0.18µm CMOS technology. A very efficient reduction in power can be obtained by this method as about 0.12W is the power at the proposed receiver when compared to 50W in the existing receiver. Index Terms-Quasi resonant interconnection networks, delay element, spiral inductor,rz-ask modulation I I. INTRODUCTION n various circuit modules transmitting signals over large areas required long interconnections.with the continuous scaling of technology and increased die area, cross sectional areas of wires has been scaled down while interconnect length & frequency has increased. In [1] the damping factor and the ratio of the rise time of the input signal at the driver of an interconnect line to the time of flight of signals across the line are the two figure of merits that were studiedand a primary result of this study is that a range for the length of the interconnect exists for which inductance effects are prominent and under certain conditions, the inductance effects are negligible. To overcome the above undesirable effects, many techniques developed over these years. Repeaters are often used [2] to minimize the delay required to propagate a signal through those interconnect lines that are best modeled as an RC impedance. But the major drawback was increased power dissipation and delay when used in large numbers when required. In [3] a current sensing method for ULV Applications is presented using a new auto-regulated current sensing scheme (ARCS). In [4] it is shown that high performance can be obtained by using differential signaling, current mode sensing, bridge termination, and driver pre-emphasis. The adaptive bandwidth bus architecture based on hybrid voltage/current mode repeaters [5] for long global interconnect achieves high-data rates while minimizing static power dissipation. Low voltage signaling can also be used over long on-chip interconnects [6] [7]. A design which takes advantage of the inductancedominated high-frequency regime of on-chip interconnects is shown capable of transmitting data at velocities near the speed of light [8]. This approach offers a five times improvement in delay over a conventional repeater insertion strategy but poor spectral efficiency. Alternatively a method was proposed [9] in which sharp current pulse data transmission was used to modulate transmitter energy to higher frequencies which provides considerably reduced dispersion. It was found that the optoelectronic interconnects outperform their electrical counterparts, under certain conditions, especially for relatively long lines and multichannel data links [10]. But the major issue in this method is the interface between electrical and optical signals. Finally, a low power, low latency on-chip interconnect design methodology based on inserting an on-chip spiral inductor to resonate interconnect around the fundamental harmonic of the transmitted signal was proposed. Thus, the interconnect capacitance resonates with the inserted on-chip inductance and the fundamental harmonic of the input signal is amplified and transmitted to the output. The energy resonates between electric and magnetic field rather than being dissipated as heat. II. PRINCIPLE OF QUASI-RESONANT INTERCONNECTION Figure 1: Quasi-resonant network The quasi resonant interconnect network architecture is as shown above. The block consists of the transmitter, driver, and the RLC distributed interconnection or transmission line, onchip spiral inductor L s and a receiver. The transmitter is used to modulate the input data signal for transmission. The inverter is used as a driver which drives the interconnect. The RLC transmission lines are found to be separated by the inductor Lswhich is used to resonate the

International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 2 network at the desired frequency for minimum power consumption and delay. Finally the receiver demodulates the received modulated signal to the original input bit stream of data. The fundamental harmonic of the input signal is amplified by the magnitude of the transfer function. Thus the network resonates at specific target frequency and magnitude. The output signal in the frequency domain is given by V out (s) = H (s). V in (s) (1) H (s) is the transfer function of the network between and V in (s) is the Figure 2 shows the lumped spiral inductor model. The capacitance C p represents the capacitive coupling between the windings of the spiral inductor. The elements L series and R ac represent the inductance and parasitic resistance, respectively, while R skin and L skin model the skin effect. Also note that L series incorporates the eddy current effect coupled to the inductor by the coefficient K. The parasitic capacitance between the lines and the substrate is modeled by C ox. The parallel C sub and R sub combination models the parasitic resistance and capacitance to the substrate. IV. DESIGN METHODOLOGY This part contains the design of transmitter and receiver sections. A. Transmitter Design input data stream. The input data signal in the time domain can be given as, v in (t) = k= ake jkωpt (2) where a k is the k th harmonic of the signal and ω p is the resonant radian frequency. The average power consumed by the network is P total,avg = P trx,avg + P qrn,avg + P rec,avg (3) where P trx, avg and P rec,avg are the average power consumption of the transmitter and receiver, respectively, and P qrn,avg is the average power consumption of the quasi-resonant network (including the driver). The resonant interconnect network is a passive linear network P qrn,avg. A one-port network, as depicted in Fig. 8, can therefore be used to determine. The output impedance of the driver Rd and the input impedance of the network Zin determine the power consumption of the network. III. OVERVIEW OF SPIRAL INDUCTOR MODEL Figure 2: Lumped model of a spiral inductor

International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 3 Figure 3: Transmitter circuit: (a) modulation scheme (b) gate level circuit (c) transistor level circuit (d) signal waveforms The transmitter performs RZ-ASK (return to zeroamplitude shift keying) modulation inorder to support the single transmission frequency of 1/t p bits/s. This method has three main advantages. They are, 1. The transmitted signal has a single frequency. 2. Power is dissipated only during the half cycle period of transmission of logic 1. 3. No complex circuit is required. The proposed modulation scheme and signal waveforms are shown in Fig. 3. To maintain high speed operation, a transmission gate-based circuit (consisting of transistors M t1, M t2, M t3, and M t4 ) is used for the logic, as shown in Fig. 3(c). In the case where both the clock and data are at logic one, the transmission gate M t1 passes the logic one state to the input of the buffer chain, while the inverter (consisting of transistors M t3 and M t4 ) turns off transmission gate M t2. In all of the other cases, the logic zero state is passed to the input of the buffer chain. constraint is required since the demodulation circuit is level sensitive. To synchronize the clock with the data, a delay element is used. A delay element based on inverters is used as shown in Fig. 4(c). The delay element provides a course and a fine delay. If course tuning is required (i.e., the delay of a half clock cycle,t p /2), an odd number of inverters is used. If fine tuning is required (i.e., the intrinsic delay of the inverters), an even number of inverters is required. In this manner, for an odd number of inverters, a totaldelay of t p /2+nδ is achieved. For an even number of inverters, a total delay of nδ is achieved. δ and n are the intrinsic delay of a single inverter and number of inverters, respectively. Transistor M t5 restores the voltage (equal to V th ) associated with the operation of transmission gates M t1 and M t2. The input data as well as the modulated signal are shown in Fig. 5(d). In this example, a 100100111 bit stream is modulated at a 5-GHz operating frequency. Note that the modulated signal follows the return to zero amplitude shift keying scheme, essential for quasiresonant operation. B. Design The receiver circuit, its timing diagram and the signal waveforms are as shown in Fig. 4. When the clock is high, the switch closes and the data is sampled and transferred. The sampled signal charges (and discharges) the parasitic capacitance C p. When the clock is low, the switch is open and the logic state is stored (or held) until the following clock cycle. When the clock state is high, transistor M r1 turns on and the data is sampled and transferred. When the data state is low, the restorer transistor M r2 turns on, maintaining the high state signal at the input of the second inverter (consisting of transistors M r3 and M r4 ). This mechanism serves a dual purpose. It restores the voltage associated with the transmission gate M r1, and prevents charge leakage by replenishing the charge on the parasitic capacitance of transistors M r3 and M r4 (through the feedback connection). When the clock logic is low, M r2 prevents the charge from leaking. When the data logic is high, transistor M r2 turns off and the logic low state is transferred to the output. Finally, when the clock state is low, the previous logic state is preserved until the following clock cycle. Note that for the receiver to operate properly, the allowed skew between the clock and the incoming modulated data should be less then one quarter of the clock cycle. This

International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 4 Figure 4: circuit: (a) sample and hold circuit (b) transistor level circuit (c) existing delay element circuit and timing diagram (d) signal waveforms. V PROPOSED DELAY ELEMENT The proposed delay element is as shown in Fig.5. In digitally controlled delay element by applying a specific binary vector to the controlling transistors (M n0, M n1,. M p0, M p1,. ), a combination of transistors are turned on at the sources of the M1 and M2 transistors. Such an arrangement, controls the rise and fall times (and hence, the delay) of the output voltage of the first inverter. The W/L ratios of the controlling transistors are usually chosen in a binary fashion so as to achieve binary, incremental delay. 60 40 20 0 POWER ANALYSIS Conventional Proposed VII CONCLUSION Thus the receiver using the proposed digitally controlled delay element has been presented. The method is based on 0.18µm CMOS technology. An accurate model is presented based on transmission line theory and a lumped high frequency model of an on-chip spiral inductor. This method is shown to outperform the conventional method. The receiver power value using conventional method is 50.43W whereas the power value of the proposed receiver is 0.1289W.Thus significant power reduction is achieved. ACKNOWLEDGMENT The authors wish to thankprof. B.Anand, Asst.Prof, Department of Electrical and Electronics Engineering, Hindusthan College of Engineering and Technology his keen interest and encouragement. The authors are also grateful to Prof.M.Yuvaraja, Head, Department of Electronics and Communication Engineering, P.A. College of Engineering and Technology, Coimbatore, India helping throughout this work. Figure 5: Digitally Controlled Delay Element VI SIMULATION RESULT The proposed delay element was implemented in a standard 0.18µm process and transistor level simulation was carried out to verify the effectiveness of the methods. Table 1 summarizes the performance of the presented delay element in the receiver with the one reported. The power is only0.1289w in the proposed receiver when compared to 50.43W in the conventional receiver. TABLE I PERFORMANCE SUMMARY AND COMPARISON Type Conventional Proposed Power(W) 50.43W 0.1289W The proposed receiver and conventional receiver have been simulated and compared using TSPICE in 0.18μm (micrometer) technology. The two proposed receiver structures show significant decrease in power consumption. REFERENCES [1] Y. I. Ismail, E. G. Friedman, and J. L. Neves, Figures of merit to characterize the importance of on-chip inductance, IEEE Trans. VeryLarge Scale Integr. (VLSI) Syst., vol. 7, no. 6, pp. 442 449, Dec. 1999. [2] Y. I. Ismail and E. G. Friedman, Effects of inductance on the propagation delay and repeater insertion in VLSI circuits, IEEE Trans. VeryLarge Scale Integr. (VLSI) Syst., vol. 8, no. 2, pp. 195 206, Apr. 2000. [3] A. Valentian and A. Amara, On-chip signaling for ultra low-voltage 0.13 µm CMOS SOI technology, in Proc. IEEE Northeast WorkshopCircuits Syst., Jun. 2004, pp. 169 172. [4] N. Tzartzanis and W. W. Walker, Differential current-mode sensing for efficient on-chip global signaling, IEEE J. Solid-State Circuits, vol.40, no. 11, pp. 2141 2147, Nov. 2005. [5] R. Bashirullah, W. Liu, R. Cavin, and D. Edwards, A hybrid current/ voltage mode on-chip signaling scheme with adaptive bandwidth capability, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 8, pp. 876 880, Aug. 2004. [6] I. Ben Dhaou, V. Sundararajan, H. Tenhunen, and K. K. Parhi, Energy efficient signaling in deep submicron CMOS technology, in Proc.IEEE Int. Symp. Quality Electron. Des., Mar. 2001, pp. 319 324. [7] V. Venkatraman, M. Anders, H. Kaul, W. Burleson, and R. Krishnamurthy, A low-swing signaling technique for 65 nm on-chip interconnects, in Proc. IEEE Int. SoC Conf., Sep. 2006, pp. 289 292. [8] R. T. Chang, N. Talwalker, C. P. Yue, and S. S.Wong, Near speed-oflight signaling over on-chip electrical interconnects, IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 834 838, May 2003.

International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 5 [9] A. P. Jose, G. Patounakis, and K. L. Shepard, Near speed-of-light on-chip interconnects using pulsed current-mode signaling, in Proc.IEEE Symp. VLSI Circuits, Jun. 2005, pp. 108 111. [10] E. D. Kyriakis-Bitzaros, N. Haralabidis, M. Lagadas, A. Georgakilas,Y. Moisiadis, and G. Halkias, Realistic end-to-end simulation of the optoelectronic links and comparison with the electrical interconnections for system-on-chip applications, IEEE J. Lightw. Technol., vol. 19, no. 10, pp. 1532 1542, Oct. 2001. AUTHORS First Author B.Ganga Devi, Assistant Professor, P.A. College of Engineering and Technology and deviikb@gmail.com Second Author S.Jayasudha, Assistant Professor, P.A. College of Engineering and Technology and sudha.jayaudt@gmail.com