Constructii sintetizabile in verilog

Size: px
Start display at page:

Download "Constructii sintetizabile in verilog"

Transcription

1 Constructii sintetizabile in verilog Introducere Programele verilog se împart în două categorii: cod pentru simulare și cod sintetizabil. Codul scris pentru simulare (testul) nu este sintetizabil. Codul sintetizabil poate fi simulat, dar prin intermetiul unui test. Principalele construcții sintetizabile în limbajul verilog sunt următoarele: - instanțierea de module sintetizabile - orice construcție folosind cuvântul cheie assign - blocurile always care respectă un format standard, cunoscut de către compilator Automate cu stari finite de tip Mealy Schema unui automat de tip Mealy este prezentată mai jos. Un automat de tip Mealy este format din: - registre, care sunt utilizate pentru memorarea stării curente a automatului pe durata perioadei curente a ceasului (clk) - circuite logice combinationale, care sunt utilizate pentru calcularea stării urmatoare a automatului - logica de calcul a ieșirilor automatului, care se calculează in funcție de intrări și starea curentă intrări logică pentru ieșiri logică combinatorială pentru starea următoare registru > ieșiri starea curentă ceas Figura 1. Automat cu stări finite Mealy Exemplu. Se dorește construirea unui automat Mealy ce primește la intrare variabila in și detectează tranzitiile din 0 în 1 și din 1 în 0 ale acestui semnal. Modulul are interfața următoare: module edgedetector1 (input clk, input reset, input in, output reg rout); localparam A=3'd0, B=3'd1, C=3'd2; reg out; reg [2:0] state; // Current state reg [2:0] nxtstate; // Next state

2 Blocul always utilizat pentru salvarea stării pe perioada curentă a semnalului de ceas este prezentat mai jos. Aceasta este o constructie sintetizabilă. Compilatorul va sintetiza variabila state intr-un registru. clk or posedge reset) begin if (reset) begin state = A; // Initial state rout = 0; else begin state = nxtstate; rout = out; Blocul always utilizat pentru calcularea stării urmatoare a automatului și a ieșirii acestuia este prezentat mai jos. Aceasta este o constructie sintetizabilă. Compilatorul va sintetiza blocul de cod în circuite logice combinaționale. Variabilele nxtstate și out nu vor fi sintetizate ca registre pentru că nu depind de semnalul de ceas clk. begin nxtstate = state; out = 0; case (state) A : if (in) nxtstate = C; else nxtstate = B; B : if (in) begin out = 1; nxtstate = C; C : if (!in) begin out = 1; nxtstate = B; default : begin out = 1'bX; nxtstate = 3'bX; case Se observă ca primele doua instructiuni ale corpului always sunt două atribuiri: se setează variabilele nxtstate și out. Aceste atribuiri se numesc implicite. Dacă în vreo ramură a blocului case, vreuna dintre variabilele nxtstate și out nu este setată, atunci ea va avea valoarea atribuită la începutul blocului always de atribuirea implicită. În ramurile blocului case în care se setează aceste variabile explicit, atunci atribuirile implicite se ignoră. De exemplu, în starea B, dacă in este egal cu 1 atunci variabila out este setată la valoarea 1; altfel, variabila out este setată la valoare implicită, adica 0.

3 Acelasi efect se poate obține folosind pentru variabilele nxtstate și out setare prin instrucțiunea assign, dar în acest caz, aceste variabile trebuie declarate ca wire. module edgedetector2 (input clk, input reset, input in, output reg rout); localparam A=3'd0, B=3'd1, C=3'd2; wire out; reg [2:0] state; // Current state wire [2:0] nxtstate; // Next state clk or posedge reset) begin if (reset) begin state = A; // Initial state rout = 0; else begin state = nxtstate; rout = out; assign nxtstate = (state == A)? (in? C : B) : (state == B)? (in? C : B) : (state == C)? (!in? B: C) : 3'bX; assign out = (state == A)? 0 : (state == B)? (in? 1 : 0) : (state == C)? (!in? 1: 0) : 1'bX; module Observație: se recomanda în cazul automatelor de tip Mealy ca iesirea out să fie trecută printr-un registru rout. Automate cu stari finite de tip Moore Schema unui automat de tip Moore este prezentată mai jos. Un automat de tip Moore este format din: - registre, care sunt utilizate pentru memorarea stării curente a automatului pe durata perioadei curente a ceasului (clk) - circuite logice combinationale, care sunt utilizate pentru calcularea starii următoare a automatului - logica de calcul a iesirilor automatului, care se calculeaza numai in funcție de starea curentă a automatului

4 intrări logică combinatorială pentru starea următoare registru > starea curentă logică pentru ieșiri ieșiri ceas Figura 2. Automat cu stări finite Moore În continuare este prezentată implementarea exemplului dat anterior, dar cu automat de tip Moore. Singura deosebire este blocul always utilizat pentru calcularea stării următoare a automatului și a iesirii acestuia, care este prezentat mai jos. begin nxtstate = state; out = 0; case (state) A : if (in) nxtstate = C; else nxtstate = B; B : if (in) nxtstate = D; C : if (!in) nxtstate = E; D : begin out = 1; if (in) nxtstate = C; else nxtstate = E; E : begin out = 1; if (in) nxtstate = D; else nxtstate = B; default : begin out = 1'bX; nxtstate = 3'bX; case

5 Simularea modulelor verilog Simularea modulelor implementate mai sus presupune crearea unui modul de test în care se instanțiază modulul testat. Modulul de test este prezentat mai jos. Prima linie este directiva timescale care stabilește durata de întârziere sau așteptare a instrucțiunii precedată de caracterul #. Urmează instanțierea modulului testat și corpul initial care descrie stimulii acestei simulări. Se mai remarcă simulatorul semnalului de ceas clk din blocul always, care, in acest caz, din 5 în 5ns inversează valoarea semnalului de ceas. `timescale 1ns / 1ps module test; reg clk, reset, in; wire rout; edgedetector1 ed (clk, reset, in, rout); initial begin clk = 0; reset = 1; in = 0; #20; reset = 0; #30; in = 1; #50; in = 0; always #5 clk = ~clk; module Simularea modulului edgedetector1 de tip Mealy este prezentata mai jos:

6 Aplicație: Afisaj numeric Placa de dezvoltare Nexys1 deține un afisaj numeric cu patru digiți, iar placa de dezvoltare Nexys4DDR deține un afisaj numeric cu opt digiți. Fiecare dintre cei patru (sau opt) digiți este compus din șapte segmente aranjate ca în figura de mai jos, cu un led încorporat în fiecare segment. Segmentele pot fi iluminate individual, deci 128 de combinații diferite pot fi afișate pe un digit. Cele mai interesante combinații sunt cifrele zecimale. Figura 3. Digit cu 7 segmente Anozii celor șapte segmente luminoase ale unui digit sunt legate împreună, iar catozii rămân separați, ca în figura următoare. Anodul comun al fiecărui digit are un semnal disponibil ca intrare digitală pentru afisajul numeric. Acestea sunt numerotate AN0... AN7. Cele 8 elementele catod ale unui digit sunt comune tuturor digiților și sunt denumite CA,..., CG, DP. Aceste 8 semnale sunt disponibile ca intrări digitale. Această schemă creează un afisaj multiplexat în care elementele catod sunt comunte tuturor digiților, dar pot ilumina doar segmentele digitului pentru care semnalul anod este activ. Figura 4. Afisaj numeric Pentru a ilumina un segment, intrarea anod corespunzătoare digitului de care aparține segmentul trebuie setată pe 0, iar catodul corespunzător tot pe 0.

7 Un circuit de control poate fi utilizat pentru a afișa un număr cu cifre diferite pe afisaj. Circuitul setează semnalele anod și combinația de segmente (ce reprezintă cifra) pentru fiecare digit în parte într-o manieră repetitivă și cu o rapiditate suficient de mare pentru a evita stingerea digiților. Daca frecvența de reîmprospătare a afisajului este mai mică de 45Hz, atunci afisajul va clipi. În continuare descriem metoda de afișare pentru patru digiți deoarece extinderea la opt digiți se poate face imediat. Pentru ca fiecare dintre cei patru digiți să apară iluminat continuu avem nevoie de o frecvență de reîmprospătare a afisajului cuprinsă intre 1KHz și 60Hz. De exemplu, pentru o frecvență de 60Hz, afisajul va fi reîmprospătat o dată la fiecare 16ms și fiecare digit va fi iluminat un sfert din acest ciclu, deci 4ms. Modulul de control trebuie să asigure combinația de segmente dorită pentru a ilumina digitul al cărui anod este activat. De exemplu, când AN0 este activat și CB și CC sunt activate, atunci cifra 1 va fi afișată pe primul digit. Apoi, când AN1 este activat și CA, CB și CC sunt activate, atunci cifra 7 va fi afișată pe digitul al doilea. Dacă AN0, CB și CC sunt activate 4ms (în timp ce AN1, AN2, AN3 sunt inactive) iar apoi A1 și CA, CB, CC sunt activate pentru 4ms și ciclăm aceste activări, atunci pe primii doi digiți va fi afișat numărul 71. Figura de mai jos ilustrează modul de afișare pentru patru digiți. Figura 5. Ilustrarea modului de afișare pe 4 digiți Pentru a implementa modulul de control al afisajului, avem nevoie de un automat cu stări finite. Pentru un afisaj cu patru digiți avem nevoie de patru stări, fiecare pentru un digit. În fiecare stare, automatul trebuie să aștepte 4ms, timp în care se ține activat anodul corespunzător digitului iluminat (iar ceilalți anozi sunt dezacvitați) și sunt setate segmentele digitului (catozii) pentru cifra dorită. Calculul intervalului de 4ms se poate face prin numărarea perioadelor oscilatorului de ceas; de exemplu, pentru o frecvență a ceasului de 50Mhz, vom avea perioade/s. Probleme propuse 1. Afișați aceeași cifră pe toți digiții afisajului numeric. 2. Afișați un număr cu cifre diferite pe afisajul numeric. 3. Afișați un ceas digital pe afișajul numeric astfel: primii doi digiți reprezintă secundele, iar următorii doi, minutele.

8 4. Afișați un cronometru cu numărătoare inversă (descrescător). Primii doi digiți reprezintă sutimile de secundă, iar următorii doi digiți reprezintă secundele. 5. Relizați o schemă de afișare animată ca în figura de mai jos. În fiecare din stările a, b, c, d, automatul va sta 0,5s. Figura 6. Schema de afișare animată

Metrici LPR interfatare cu Barix Barionet 50 -

Metrici LPR interfatare cu Barix Barionet 50 - Metrici LPR interfatare cu Barix Barionet 50 - Barionet 50 este un lan controller produs de Barix, care poate fi folosit in combinatie cu Metrici LPR, pentru a deschide bariera atunci cand un numar de

More information

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice "Îmbunătăţirea proceselor şi activităţilor educaţionale în cadrul programelor de licenţă şi masterat în domeniul

More information

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban Reflexia şi refracţia luminii. Aplicaţii. Sumar 1. Indicele de refracţie al unui mediu 2. Reflexia şi refracţia luminii. Legi. 3. Reflexia totală 4. Oglinda plană 5. Reflexia şi refracţia luminii în natură

More information

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin Structura și Organizarea Calculatoarelor Titular: BĂRBULESCU Lucian-Florentin Chapter 3 ADUNAREA ȘI SCĂDEREA NUMERELOR BINARE CU SEMN CONȚINUT Adunarea FXP în cod direct Sumator FXP în cod direct Scăderea

More information

Subiecte Clasa a VI-a

Subiecte Clasa a VI-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul numarului intrebarii

More information

Olimpiad«Estonia, 2003

Olimpiad«Estonia, 2003 Problema s«pt«m nii 128 a) Dintr-o tabl«p«trat«(2n + 1) (2n + 1) se ndep«rteaz«p«tr«telul din centru. Pentru ce valori ale lui n se poate pava suprafata r«mas«cu dale L precum cele din figura de mai jos?

More information

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC)

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) Semnale şi sisteme Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) http://shannon.etc.upt.ro/teaching/ssist/ 1 OBIECTIVELE CURSULUI Disciplina îşi propune să familiarizeze

More information

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N Pentru a putea vizualiza imaginile unei camere web IP conectată într-un router ZTE H218N sau H298N, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE Circuite Logice Programabile LABORATOR 7 8 PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE SCOPUL LUCRĂRII În această lucrare se va proiecta un controler pentru un semafor care va coordona

More information

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Public-Domain Esti liber sa distribui acest document

More information

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive.

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive. . egimul de curent continuu de funcţionare al sistemelor electronice În acest regim de funcţionare, valorile mărimilor electrice ale sistemului electronic sunt constante în timp. Aşadar, funcţionarea sistemului

More information

Procesarea Imaginilor

Procesarea Imaginilor Procesarea Imaginilor Curs 11 Extragerea informańiei 3D prin stereoviziune Principiile Stereoviziunii Pentru observarea lumii reale avem nevoie de informańie 3D Într-o imagine avem doar două dimensiuni

More information

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm Preparatory Problems 1Se dau punctele coliniare A, B, C, D în această ordine aî AB 4 cm, AC cm, BD 15cm a) calculați lungimile segmentelor BC, CD, AD b) determinați distanța dintre mijloacele segmentelor

More information

Modalitǎţi de clasificare a datelor cantitative

Modalitǎţi de clasificare a datelor cantitative Modalitǎţi de clasificare a datelor cantitative Modul de stabilire a claselor determinarea pragurilor minime şi maxime ale fiecǎrei clase - determinǎ modul în care sunt atribuite valorile fiecǎrei clase

More information

X-Fit S Manual de utilizare

X-Fit S Manual de utilizare X-Fit S Manual de utilizare Compatibilitate Acest produs este compatibil doar cu dispozitivele ce au următoarele specificații: ios: Versiune 7.0 sau mai nouă, Bluetooth 4.0 Android: Versiune 4.3 sau mai

More information

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; }

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; } Arbori Arborii, ca şi listele, sunt structuri dinamice. Elementele structurale ale unui arbore sunt noduri şi arce orientate care unesc nodurile. Deci, în fond, un arbore este un graf orientat degenerat.

More information

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows Ghid identificare versiune AWP, instalare AWP 4.5.4 şi verificare importare certificat în Store-ul de Windows Data: 28.11.14 Versiune: V1.1 Nume fişiser: Ghid identificare versiune AWP, instalare AWP 4-5-4

More information

The First TST for the JBMO Satu Mare, April 6, 2018

The First TST for the JBMO Satu Mare, April 6, 2018 The First TST for the JBMO Satu Mare, April 6, 08 Problem. Prove that the equation x +y +z = x+y +z + has no rational solutions. Solution. The equation can be written equivalently (x ) + (y ) + (z ) =

More information

Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat

Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat SCOPUL LUCRĂRII Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat Se cere proiectarea unui multipol logic care să permită afişarea unui număr reprezentat pe 16 biţi pe afişoarele 7-segmente al plăcii

More information

GHID DE TERMENI MEDIA

GHID DE TERMENI MEDIA GHID DE TERMENI MEDIA Definitii si explicatii 1. Target Group si Universe Target Group - grupul demografic care a fost identificat ca fiind grupul cheie de consumatori ai unui brand. Toate activitatile

More information

Versionare - GIT ALIN ZAMFIROIU

Versionare - GIT ALIN ZAMFIROIU Versionare - GIT ALIN ZAMFIROIU Controlul versiunilor - necesitate Caracterul colaborativ al proiectelor; Backup pentru codul scris Istoricul modificarilor Terminologie și concepte VCS Version Control

More information

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii www.pwc.com/ro Aspecte controversate în Procedura Insolvenţei şi posibile soluţii 1 Perioada de observaţie - Vânzarea de stocuri aduse în garanţie, în cursul normal al activității - Tratamentul leasingului

More information

.. REGISTRE Registrele sunt circuite logice secvenţiale care primesc, stochează şi transferă informaţii sub formă binară. Un registru este format din mai multe celule bistabile de tip RS, JK sau D şi permite

More information

ISBN-13:

ISBN-13: Regresii liniare 2.Liniarizarea expresiilor neliniare (Steven C. Chapra, Applied Numerical Methods with MATLAB for Engineers and Scientists, 3rd ed, ISBN-13:978-0-07-340110-2 ) Există cazuri în care aproximarea

More information

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962)

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962) ARBORI AVL (denumiti dupa Adelson-Velskii si Landis, 1962) Georgy Maximovich Adelson-Velsky (Russian: Гео ргий Макси мович Адельсо н- Ве льский; name is sometimes transliterated as Georgii Adelson-Velskii)

More information

Mecanismul de decontare a cererilor de plata

Mecanismul de decontare a cererilor de plata Mecanismul de decontare a cererilor de plata Autoritatea de Management pentru Programul Operaţional Sectorial Creşterea Competitivităţii Economice (POS CCE) Ministerul Fondurilor Europene - Iunie - iulie

More information

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ 1. Rezumat Acest laborator își propune implementarea unui cicuit secvențial simplu: registrul pe 4 biți cu încărcare paralelă. Pentru aceasta

More information

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII Adrian Mugur SIMIONESCU MODEL OF A STATIC SWITCH FOR ELECTRICAL SOURCES WITHOUT INTERRUPTIONS IN LOAD

More information

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: "9",

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: 9, La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - (ex: "9", "125", 1573" - se va scrie fara ghilimele) Parola: -

More information

Auditul financiar la IMM-uri: de la limitare la oportunitate

Auditul financiar la IMM-uri: de la limitare la oportunitate Auditul financiar la IMM-uri: de la limitare la oportunitate 3 noiembrie 2017 Clemente Kiss KPMG in Romania Agenda Ce este un audit la un IMM? Comparatie: audit/revizuire/compilare Diferente: audit/revizuire/compilare

More information

Documentaţie Tehnică

Documentaţie Tehnică Documentaţie Tehnică Verificare TVA API Ultima actualizare: 27 Aprilie 2018 www.verificaretva.ro 021-310.67.91 / 92 info@verificaretva.ro Cuprins 1. Cum funcţionează?... 3 2. Fluxul de date... 3 3. Metoda

More information

Studiul numărătoarelor

Studiul numărătoarelor Studiul numărătoarelor În acest laborator se va studia funcţionarea unui numărător programabil alcătuit din decodificatorul 74LS138 şi numărătorul hexazecimal SN74193 (CDB4193). Numărătoare: generalităţi

More information

Updating the Nomographical Diagrams for Dimensioning the Concrete Slabs

Updating the Nomographical Diagrams for Dimensioning the Concrete Slabs Acta Technica Napocensis: Civil Engineering & Architecture Vol. 57, No. 1 (2014) Journal homepage: http://constructii.utcluj.ro/actacivileng Updating the Nomographical Diagrams for Dimensioning the Concrete

More information

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date.

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. 9. Memoria Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. Primul nivel conține memorie de program cache (L1P) și memorie de date cache (L1D). Al doilea

More information

Candlesticks. 14 Martie Lector : Alexandru Preda, CFTe

Candlesticks. 14 Martie Lector : Alexandru Preda, CFTe Candlesticks 14 Martie 2013 Lector : Alexandru Preda, CFTe Istorie Munehisa Homma - (1724-1803) Ojima Rice Market in Osaka 1710 devine si piata futures Parintele candlesticks Samurai In 1755 a scris The

More information

Propuneri pentru teme de licență

Propuneri pentru teme de licență Propuneri pentru teme de licență Departament Automatizări Eaton România Instalație de pompare cu rotire în funcție de timpul de funcționare Tablou electric cu 1 pompă pilot + 3 pompe mari, cu rotirea lor

More information

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP Circuite Logice Programabile LABORATOR 4 DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP SCOPUL LUCRĂRII Logica secvențială este termenul generic folosit pentru proiectele

More information

5.3 OSCILATOARE SINUSOIDALE

5.3 OSCILATOARE SINUSOIDALE 5.3 OSCILATOARE SINUSOIDALE 5.3.1. GENERALITĂŢI Oscilatoarele sunt circuite electronice care generează la ieşire o formă de undă repetitivă, cu frecvenţă proprie, fără a fi necesar un semnal de intrare

More information

Update firmware aparat foto

Update firmware aparat foto Update firmware aparat foto Mulţumim că aţi ales un produs Nikon. Acest ghid descrie cum să efectuaţi acest update de firmware. Dacă nu aveţi încredere că puteţi realiza acest update cu succes, acesta

More information

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan Convertoare numeric analogice şi analog numerice Semnalele din lumea reală, preponderent analogice,

More information

MANUAL DE UTILIZARE. Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta " " pentru a porni ceasul.

MANUAL DE UTILIZARE. Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta   pentru a porni ceasul. MANUAL DE UTILIZARE Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta " " pentru a porni ceasul. 1. Principalele caracteristici de functionare * Ceasul transmite date prin Bluetooth

More information

CERERI SELECT PE O TABELA

CERERI SELECT PE O TABELA SQL - 1 CERERI SELECT PE O TABELA 1 STUD MATR NUME AN GRUPA DATAN LOC TUTOR PUNCTAJ CODS ---- ------- -- ------ --------- ---------- ----- ------- ---- 1456 GEORGE 4 1141A 12-MAR-82 BUCURESTI 2890 11 1325

More information

CHAMPIONS LEAGUE 2017 SPONSOR:

CHAMPIONS LEAGUE 2017 SPONSOR: NOUA STRUCTURĂ a Ch League Pe viitor numai fosta divizie A va purta numele Champions League. Fosta divizie B va purta numele Challenger League iar fosta divizie C se va numi Promotional League. CHAMPIONS

More information

6. Bucle. 6.1 Instrucţiunea while

6. Bucle. 6.1 Instrucţiunea while 6. Bucle În capitolul trecut am văzut cum putem selecta diferite instrucţiuni pentru execuţie folosind instrucţiunea if. O buclă este o structură de control care provoacă executarea unei instrucţiuni sau

More information

Lucrarea de laborator nr. 4

Lucrarea de laborator nr. 4 Metode merice - Lucrarea de laborator 4 Lucrarea de laborator nr. 4 I. Scopul lucrării Elemente de programare în MAPLE II. III. Conţinutul lucrării 1. Atribuirea. Decizia. Structuri repetitive. 2. Proceduri

More information

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci.

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci. O condiţie necesară şi suficientă ca un număr să fie număr Fibonacci Autor: prof. Staicu Ovidiu Ninel Colegiul Economic Petre S. Aurelian Slatina, jud. Olt 1. Introducere Propuse de Leonardo Pisa în 1202,

More information

Structuri de conducere ierarhizată a proceselor electroenergetice. Automatul programabil Logo

Structuri de conducere ierarhizată a proceselor electroenergetice. Automatul programabil Logo Structuri de conducere ierarhizată a proceselor electroenergetice Automatul programabil Logo 1 Cuprins 1. Echipamentul pentru analiza circuitelor secventiale si realizarea de structuri logice Prezentare

More information

CAIETUL DE SARCINI Organizare evenimente. VS/2014/0442 Euro network supporting innovation for green jobs GREENET

CAIETUL DE SARCINI Organizare evenimente. VS/2014/0442 Euro network supporting innovation for green jobs GREENET CAIETUL DE SARCINI Organizare evenimente VS/2014/0442 Euro network supporting innovation for green jobs GREENET Str. Dem. I. Dobrescu, nr. 2-4, Sector 1, CAIET DE SARCINI Obiectul licitaţiei: Kick off,

More information

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip Mods euro truck simulator 2 harta romaniei by elyxir Mods euro truck simulator 2 harta romaniei by elyxir.zip 26/07/2015 Download mods euro truck simulator 2 harta Harta Romaniei pentru Euro Truck Simulator

More information

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Laborator 07 Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Unitatea de Instruction Execute EX / Unitatea de Memorie MEM / Unitatea Write-Back WB 0. Resurse minimale necesare!

More information

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH 1. Rezumat Acest laborator își propune prezentarea succintă a tehnicii de minimizare bazate pe diagrame Karnaugh, precum și sinteza cu porți logice

More information

Programare în limbaj de asamblare 16. Formatul instrucţiunilor (codificare, moduri de adresare).

Programare în limbaj de asamblare 16. Formatul instrucţiunilor (codificare, moduri de adresare). Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Programare în limbaj de asamblare 16. Formatul instrucţiunilor (codificare, moduri de adresare). Formatul instrucţiunilor

More information

The driving force for your business.

The driving force for your business. Performanţă garantată The driving force for your business. Aveţi încredere în cea mai extinsă reţea de transport pentru livrarea mărfurilor în regim de grupaj. Din România către Spania în doar 5 zile!

More information

EN teava vopsita cu capete canelate tip VICTAULIC

EN teava vopsita cu capete canelate tip VICTAULIC ArcelorMittal Tubular Products Iasi SA EN 10217-1 teava vopsita cu capete canelate tip VICTAULIC Page 1 ( 4 ) 1. Scop Documentul specifica cerintele tehnice de livrare pentru tevi EN 10217-1 cu capete

More information

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila MS POWER POINT s.l.dr.ing.ciprian-bogdan Chirila chirila@cs.upt.ro http://www.cs.upt.ro/~chirila Pornire PowerPoint Pentru accesarea programului PowerPoint se parcurg următorii paşi: Clic pe butonul de

More information

Nume şi Apelativ prenume Adresa Număr telefon Tip cont Dobânda Monetar iniţial final

Nume şi Apelativ prenume Adresa Număr telefon  Tip cont Dobânda Monetar iniţial final Enunt si descriere aplicatie. Se presupune ca o organizatie (firma, banca, etc.) trebuie sa trimita scrisori prin posta unui numar (n=500, 900,...) foarte mare de clienti pe care sa -i informeze cu diverse

More information

Tema 1 - Transferuri de date DMA intr-o arhitectura de tip Cell

Tema 1 - Transferuri de date DMA intr-o arhitectura de tip Cell Tema 1 - Transferuri de date DMA intr-o arhitectura de tip Cell Termen de trimitere a temei: Luni, 31 martie 2008, ora 23:55 1. Specificatii functionale O arhitectura de tip Cell consta din urmatoarele

More information

CURS 9 SEMNALE LA INTERFAŢA UC CU EXTERIORUL CONTINUARE. Şef lucr. dr. ing. Dan FLOROIAN

CURS 9 SEMNALE LA INTERFAŢA UC CU EXTERIORUL CONTINUARE. Şef lucr. dr. ing. Dan FLOROIAN CURS 9 SEMNALE LA INTERFAŢA UC CU EXTERIORUL CONTINUARE Şef lucr. dr. ing. Dan FLOROIAN Magistrala de date Lărgimea magistralei de date este de obicei multiplu de octet (d = 8, 16, 32, 64...). Cele d linii

More information

Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL

Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL 1. Scopul lucrării Însuşirea principiilor pentru descrierea circuitelor cu structură ordonată de componente

More information

Metoda BACKTRACKING. prof. Jiduc Gabriel

Metoda BACKTRACKING. prof. Jiduc Gabriel Metoda BACKTRACKING prof. Jiduc Gabriel Un algoritm backtracking este un algoritm de căutare sistematică și exhausivă a tuturor soluțiilor posibile, dintre care se poate alege apoi soluția optimă. Problemele

More information

RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare

RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare I. Descriere generală Acest înregistrator de date este utilizat în principal pentru înregistrarea temperaturii în timpul

More information

Subiectele pentru proba practică din cadrul examenului de Paradigme de Programare Sesiunea iunie 2015

Subiectele pentru proba practică din cadrul examenului de Paradigme de Programare Sesiunea iunie 2015 Subiectele pentru proba practică din cadrul examenului de Paradigme de Programare Sesiunea iunie 2015 Considerații generale cu privire la proba practică: La examen studentul va avea acces la cursurile

More information

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LXI (LXV), Fasc. 1, 2015 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ LINEAR VOLTAGE-TO-CURRENT

More information

SIMULAREA DESCRIERILOR VHDL

SIMULAREA DESCRIERILOR VHDL 1 SIMULAREA DESCRIERILOR VHDL În prima parte a acestei lucrări de laborator se prezintă principiul simulatoarelor și al simulării asistate de calculator pentru sistemele digitale. În continuare, sunt descrise

More information

CMOS DELAY CELL WITH LARGE TUNING RANGE

CMOS DELAY CELL WITH LARGE TUNING RANGE BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Volumul 62 (66), Numărul 2, 2016 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ CMOS DELAY CELL WITH

More information

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M )

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M ) FLEXIMARK FCC din oțel inoxidabil este un sistem de marcare personalizată în relief pentru cabluri și componente, pentru medii dure, fiind rezistent la acizi și la coroziune. Informații Included in FLEXIMARK

More information

Reţele Neuronale Artificiale în MATLAB

Reţele Neuronale Artificiale în MATLAB Reţele Neuronale Artificiale în MATLAB Programul MATLAB dispune de o colecţie de funcţii şi interfeţe grafice, destinate lucrului cu Reţele Neuronale Artificiale, grupate sub numele de Neural Network Toolbox.

More information

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC Anul II Nr. 7 aprilie 2013 ISSN 2285 6560 Referent ştiinţific Lector univ. dr. Claudiu Ionuţ Popîrlan Facultatea de Ştiinţe Exacte Universitatea din

More information

Mulțumim anticipat tuturor acelora care vor transmite critici/observații/sugestii

Mulțumim anticipat tuturor acelora care vor transmite critici/observații/sugestii Mulțumim anticipat tuturor acelora care vor transmite critici/observații/sugestii ilincamircea@yahoo.com TEMA III.1 v1 : ORGANIZAREA DATELOR UNUI PROGRAM C/C++ ÎN MO postat 02.11.2016 (sinteză) Coținutul

More information

Macrocomenzi. Figura 1. Personalizarea barei de meniuri. Se va afișa fereastra din figura 2. Figura 2. Includerea tab ului Developer.

Macrocomenzi. Figura 1. Personalizarea barei de meniuri. Se va afișa fereastra din figura 2. Figura 2. Includerea tab ului Developer. Macrocomenzi Macrocomenzile (sau, prescurtat macrou rile) sunt colecții de comenzi înregistrate pentru a putea fi lansate în execuție, în bloc, ori de câte ori va fi nevoie. Avantajul lucrului cu macro

More information

INTEROGĂRI ÎN SQL SERVER

INTEROGĂRI ÎN SQL SERVER INTEROGĂRI ÎN SQL SERVER Principala operaţie efectuată într-o bază de date este operaţia de extragere a datelor, care se realizează cu ajutorul unei clauze SELECT. SELECT Clauza SELECT are o sintaxă foarte

More information

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog Laborator 1 Programare declarativă O paradigmă de programare în care controlul fluxului de execuție este lăsat la latitudinea implementării limbajului, spre deosebire de programarea imperativă în care

More information

Implementation of a Temperature Control System using ARDUINO

Implementation of a Temperature Control System using ARDUINO 1. Implementation of a Temperature Control System using ARDUINO System structure Close control loop Fuzzy controller Fuzzy logic system: 9 rules Temperature Sensor One Wire Digital Temperature Sensor -

More information

Grafuri bipartite. Lecție de probă, informatică clasa a XI-a. Mihai Bărbulescu Facultatea de Automatică și Calculatoare, UPB

Grafuri bipartite. Lecție de probă, informatică clasa a XI-a. Mihai Bărbulescu Facultatea de Automatică și Calculatoare, UPB Grafuri bipartite Lecție de probă, informatică clasa a XI-a Mihai Bărbulescu b12mihai@gmail.com Facultatea de Automatică și Calculatoare, UPB Colegiul Național de Informatică Tudor Vianu București 27 februarie

More information

10. Modelarea şi eliminarea zgomotelor din imaginile digitale

10. Modelarea şi eliminarea zgomotelor din imaginile digitale Procesarea Imaginilor - Laborator 0: Modelarea şi eliminarea zgomotelor din imagini 0. Modelarea şi eliminarea zgomotelor din imaginile digitale 0.. Introducere Zgomotul este o informaţie nedorită care

More information

Platformă de e learning și curriculă e content pentru învățământul superior tehnic

Platformă de e learning și curriculă e content pentru învățământul superior tehnic Platformă de e learning și curriculă e content pentru învățământul superior tehnic Testarea Sistemelor 17. Testarea funcţională fără modele ale defectelor Testarea funcțională Metodele de generare a testelor

More information

INTERPRETOARE DE COMENZI

INTERPRETOARE DE COMENZI Rularea lui determin afişarea mesajului hello world la consola 3.2. Facilităţi ale interpretoarelor de comenzi 3.1. Introducere Capitolul 3 INTERPRETOARE DE COMENZI Interpretorul de comenzi este un program

More information

AMPLIFICATOR PROGRAMABIL AVANT 3 PENTRU RECEPTIE DVB-T SI RADIO FM / DAB

AMPLIFICATOR PROGRAMABIL AVANT 3 PENTRU RECEPTIE DVB-T SI RADIO FM / DAB AMPLIFICATOR PROGRAMABIL AVANT 3 PENTRU RECEPTIE DVB-T SI RADIO FM / DAB In acest material va prezentam amplificatorul AVANT 3 prevazut cu filtre programabile care permite receptie DVB-T, radio FM si DAB

More information

F. Radulescu. Curs: Utilizarea bazelor de date, anul IV C5.

F. Radulescu. Curs: Utilizarea bazelor de date, anul IV C5. Capitolul 8 Data mining date corelate Reprezentarea datelor Vom continua să considerăm modelul de date coşuri de produse şi vom vizualiza datele ca o matrice booleană unde: linii=coşuri şi coloane=articole.

More information

Introducere în Matlab

Introducere în Matlab Introducere în Matlab Matlab-ul este un limbaj de nivel foarte înalt care prezintă performanńe deosebite în ceea ce priveşte calculul tehnic (Matlab reprezintă o prescurtare a cuvintelor Matrix laboratory

More information

CUANTIZARE BIBLIOGRAFIE OBIECTIVE

CUANTIZARE BIBLIOGRAFIE OBIECTIVE CUANTIZARE OBIECTIVE In aceasta lucrare se va acorda o atentie deosebita: studierii caracteristicilor de cuantizare uniforma si neuniforma; observarii efectelor diferitelor tipuri de distorsiune de cuantizare;

More information

SAG MITTIGATION TECHNICS USING DSTATCOMS

SAG MITTIGATION TECHNICS USING DSTATCOMS Eng. Adrian-Alexandru Moldovan, PhD student Tehnical University of Cluj Napoca. REZUMAT. Căderile de tensiune sunt una dintre cele mai frecvente probleme care pot apărea pe o linie de producţie. Căderi

More information

Proiectarea Sistemelor Software Complexe

Proiectarea Sistemelor Software Complexe Proiectarea Sistemelor Software Complexe Curs 3 Principii de Proiectare Orientată pe Obiecte Principiile de proiectare orientată pe obiecte au fost formulate pentru a servi ca reguli pentru evitarea proiectării

More information

1. Creaţi un nou proiect de tip Windows Forms Application, cu numele MdiExample.

1. Creaţi un nou proiect de tip Windows Forms Application, cu numele MdiExample. Aplicaţia MdiExample Aplicaţia implementează: Deschiderea şi închiderea ferestrelor child. Minimizarea şi maximizarea ferestrelor. Aranjarea ferestrelor. Tratarea mesajului de atenţionare la ieşirea din

More information

Ghid de utilizare Modul CI+

Ghid de utilizare Modul CI+ Ghid de utilizare Modul CI+ www.orange.md Introducere Vă mulțumim că aţi ales modulul CI+. Acesta funcționează împreună cu televizorul Dvs. și vă ajută să vedeți conținutul oferit în cadrul pachetului

More information

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL Limbajul VHDL VHDL este unul dintre limbajele standard folosite în industrie la ora actuală, pentru a descrie sistemele numerice. VHDL înseamnă VHSIC (Very High Speed Integrated Circuits) Hardware Description

More information

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router Pentru a putea vizualiza imaginile unei camere web IP conectată într-un echipament Huawei HG8121H, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

MEDIILE MOBILE ÎN ANALIZA TEHNICĂ A TITLURILOR COTATE LA BURSĂ

MEDIILE MOBILE ÎN ANALIZA TEHNICĂ A TITLURILOR COTATE LA BURSĂ MEDIILE MOBILE ÎN ANALIZA TEHNICĂ A TITLURILOR COTATE LA BURSĂ Conf. univ. dr. Daniela Zapodeanu, Lector univ. dr. Dorina Popa Universitatea din Oradea, Facultatea de Ştiinţe Economice Catedra de Finanţe-Contabilitate

More information

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Proiect nr. 154/323 cod SMIS 4428 cofinanțat de prin Fondul European de Dezvoltare Regională Investiții pentru viitorul

More information

manivelă blocare a oglinzii ajustare înclinare

manivelă blocare a oglinzii ajustare înclinare Twister MAXVIEW Twister impresionează prin designul său aerodinamic și înălțime de construcție redusă. Oglinda mai mare a îmbunătăți gama considerabil. MaxView Twister este o antenă de satelit mecanică,

More information

Lucrarea nr. 2. Automatizarea vopsirii unei piese

Lucrarea nr. 2. Automatizarea vopsirii unei piese Lucrarea nr. 2. Automatizarea vopsirii unei piese 1. Introducere 1.1. Conectarea intrărilor şi ieşirilor unui automat programabil Cataloagele firmelor constructoare de AP indică modul specific de legare

More information

Circuite Logice Programabile LABORATOR 1

Circuite Logice Programabile LABORATOR 1 Circuite Logice Programabile LABORATOR 1 INTRODUCERE ÎN MEDIUL INTEGRAT XILINX ISE. PROIECTAREA UNUI SUMATOR PE UN BIT INTRODUCERE Softwarele CAD (Computer Aided Design) de proiectare cu circuite logice

More information

Printesa fluture. Мобильный портал WAP версия: wap.altmaster.ru

Printesa fluture. Мобильный портал WAP версия: wap.altmaster.ru Мобильный портал WAP версия: wap.altmaster.ru Printesa fluture Love, romance and to repent of love. in romana comy90. Formular de noastre aici! Reduceri de pret la stickere pana la 70%. Stickerul Decorativ,

More information

Discrete time systems (sampling data systems) 1 Theoretical aspects. 2 Aims. 3 Establish the sampling period (Ts) Lab. no. 9

Discrete time systems (sampling data systems) 1 Theoretical aspects. 2 Aims. 3 Establish the sampling period (Ts) Lab. no. 9 Amplitudine Discrete time systems (sampling data systems) Theoretical aspects Sampling theorem (Shannon s theorem) : Discretizing continuous time systems: Zero Order Hold element (zoh) Aims Obtain sampling

More information

ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL

ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL Dan Nicula Gheorghe Toacşe ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL EDITURA TEHNICĂ - 2005 II Această pagina va fi înlocuită. II Şi această pagina va fi înlocuită. III III Încă o pagina ce va fi înlocuită.

More information

Baze de date distribuite și mobile

Baze de date distribuite și mobile Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Baze de date distribuite și mobile Lect.dr. Adrian Runceanu Curs 3 Model fizic şi model

More information

2. In the pattern below, which number belongs in the box? 0,5,4,9,8,13,12,17,16, A 15 B 19 C 20 D 21

2. In the pattern below, which number belongs in the box? 0,5,4,9,8,13,12,17,16, A 15 B 19 C 20 D 21 CLASA a IV-a Here are some suggestions to help you do your best: Read carefully each question and think about the answer before choosing your response. RULES Part I has four multiple choice exercices.

More information

ARHITECTURA SISTEMELOR DE CALCUL ŞI SISTEME DE OPERARE. LUCRĂRILE DE LABORATOR Nr. 6, 7 şi 8 REPREZENTAREA INFORMAŢIILOR NUMERICE ÎNTREGI ŞI REALE.

ARHITECTURA SISTEMELOR DE CALCUL ŞI SISTEME DE OPERARE. LUCRĂRILE DE LABORATOR Nr. 6, 7 şi 8 REPREZENTAREA INFORMAŢIILOR NUMERICE ÎNTREGI ŞI REALE. ARHITECTURA SISTEMELOR DE CALCUL ŞI SISTEME DE OPERARE LUCRĂRILE DE LABORATOR Nr. 6, 7 şi 8 REPREZENTAREA INFORMAŢIILOR NUMERICE ÎNTREGI ŞI REALE. I. SCOPUL LUCRĂRILOR Lucrările prezintă reprezentarea

More information

PROGRAMAREA CALCULATOARELOR ŞI LIMBAJE DE PROGRAMARE (IV)

PROGRAMAREA CALCULATOARELOR ŞI LIMBAJE DE PROGRAMARE (IV) PROGRAMAREA CALCULATOARELOR ŞI LIMBAJE DE PROGRAMARE (IV) Instrucţiuni condiţionale Instrucţiunea reprezintă o formă de ramificare a ordinii de execuţie a instrucţiunilor programului. Ramificarea se face

More information

Lucrarea nr. 1. Automatizarea unui reactor de etilare

Lucrarea nr. 1. Automatizarea unui reactor de etilare Lucrarea nr. 1. Automatizarea unui reactor de etilare 1. Introducere 1.1. Conectarea intrărilor şi ieşirilor unui automat programabil Cataloagele firmelor constructoare de AP indică modul specific de legare

More information