Cascaded Bayesian Inferencing for Switching Activity Estimation with Correlated Inputs

Size: px
Start display at page:

Download "Cascaded Bayesian Inferencing for Switching Activity Estimation with Correlated Inputs"

Transcription

1 IEEE Transactons On VLSI, Vol. XX, No. Y, Cascaded Bayesan Inferencng for tchng Actvty Estmaton wth Correlated Inputs Sanjukta Bhanja and N. Ranganathan, Fellow of IEEE Abstract In ths work, we nvestgate the estmaton of swtchng actvty n VLSI crcuts usng a graphcal probablstc model based on Cascaded Bayesan Networks (CBN s). Frst, we develop a theoretcal analyss for Bayesan nferencng of swtchng actvty and then derve upper bounds for certan crcut parameters whch, n turn, are useful n establshng the cascade structure of the CBN model. We formulate an elegant framework for mantanng probablstc consstency n the nterfacng boundares across the CBN s durng the nference process usng a tree-dependent (TD) probablty dstrbuton functon. A TD dstrbuton s an approxmaton of the true jont probablty functon over the swtchng varables, wth the constrant that the underlyng Bayesan network representaton s a tree. The tree approxmaton of the true jont probablty functon can be arrved at by usng a Maxmum Weght Spannng Tree (MWST) bult usng parwse mutual nformaton about the swtchng occurrng at pars of sgnal lnes on the boundary. Further, we show that the proposed TD dstrbuton functon can be used to model correlatons among the prmary nputs whch s crtcal for accuracy n modelng of swtchng actvty. Expermental results for ISCAS crcuts are presented to llustrate the effcacy of the proposed CBN models. I. INTRODUCTION tchng actvty s one of the mportant parameters for power estmaton and relablty analyss. The swtchng actvty of a node s affected by varous factors such as the connectvty of the crcut, the nput statstcs, the correlaton among nodes (or lnes), the gate type, and the gate delays, thus makng the estmaton process a complex procedure. It s well-known that swtchng actvty depends on temporal, spatal, and spatotemporal correlatons exhbted by the sgnals, whch could be nternal nodes or prmary nputs or state lnes. In ths work, we propose a new swtchng probablty model for combnatonal crcuts based on the concept of Cascaded- Bayesan Networks (CBN), capturng complex condtonal dependences over a set of random varables. Bayesan Network (BN) s a graphcal representaton of the jont probablty functon over a set of random varables n whch nodes denote random varables and drected edges represent drect dependences, quantfed by the condtonal probablty of the chld node gven ts parents. It s known that swtchng n a combnatonal crcut can be easly modeled as a Bayesan Network (BN) representaton. Snce Bayesan Network s an exact graphcal representaton of the underlyng swtchng probablty functon, t captures hgher order nterdependences among the swtchng varables completely rather than propagatng the effects of low order correlatons (usually par-wse) n most probablstc modelng. In the BN structure, each random varable represents swtchng actvty of a sngle lne n the combnatonal crcut and can take four values correspondng to the four possble transtons: {x 00, x 01, x 10, x 11 } whch ensures a lag-one Markov model to capture temporal effect completely n a zero-delay sce- Ths work s beng supported n part by a grant from the Natonal Scence Foundaton Grant No.CCR S. Bhanja and N. Ranganathan are wth Unversty of South Florda, Tampa, FL 33620, USA. E-mal: bhanja@eng.usf.edu, ranganat@csee.usf.edu naro [18]. The probablty of swtchng at a lne X would be gven by P(X = x 01 )+P(X = x 10 ). The condtonal probabltes that are needed to quantfy the dependences n BN are obtaned drectly from the logc structure. Bayesan Inferencng s NP-hard and hence a sngle BN s not suffcent to model large crcuts. Ths forces us, gven the avalable computng constrants, to represent combnatonal crcuts usng the concept of Cascaded BNs. The contrbutons of ths work are many fold. Frst, we nvestgate ways to nfer the computatonal complexty of Bayesan nferencng of swtchng actvty, dependent on the maxmum clque-sze, through the constructon of theelmnaton set, whch s an ordered superset of the clque-sets known to have an unque property of runnng ntersecton a requrement for Bayesan nferencng by local message passng. Usng ths elmnaton set, whch can be constructed n O(n + e), (n and e are number of nodes and edges) we establsh an upper bound on the complexty of the BN nference n terms of crcut parameters. The estmate of the upper bound, whch we express n terms of maxmum fan-ns and maxmum fan-outs, can be used to partton the crcut nto loosely coupled, cascaded BN representatons. Second, we address the problem of mantanng consstency across the Cascaded BN representatons durng the nference process. Thrd, we address the problem of modelng the swtchng actvty among correlated prmary nputs. The problems of nput correlaton modelng and mantanng correlatons across the CBN s essentally the same. For nput modelng, we need a mechansm to represent the correlatons among the nputs and for cascaded Bayesan modelng, we need to capture the correlatons among the nodes of the boundares between cascaded BN s. It s possble to elegantly address both these problems, smlar n nature, wth the same concept of tree-dependent dstrbuton. A tree-dependent (TD) dstrbuton s an approxmaton of the true jont probablty functon over the swtchng varables, wth the constrant that the underlyng Bayesan network representaton s a tree. The tree structure controls the computatonal complexty. The tree approxmaton of the true jont probablty functon can be arrved at usng a Maxmum Weght Spannng Tree (MWST) based on the par wse mutual nformaton between swtchngs at two sgnal lnes [3]. Snce the tree-dependent (TD) dstrbuton can also be represented as a Bayesan Network, we can fuse ths approxmate tree representaton over the prmary nodes wth the accurate BN-based representaton over the nternal nodes of the combnatonal crcut to form the TD-BN structure. Ths TD-BN structure can also be used to couple the BNs (as a CBN), n whch the correlatons among the boundary nodes are represented. Constructon of CBN requres O(n 2 ) computatonal effort. Also, f the compled Bayesan Networks occupy most of the memory re-

2 2 IEEE Transactons On VLSI, Vol. XX, No. Y, 2004 sources, computng mutual nformaton tself takes sgnfcant computatonal tme. Hence, we resort to a heurstc tree that wll compute mutual nformaton between boundary nodes only f they have common nputs or common chldren. We show how our proposed Approxmate TD modelng lowers the mean error, standard devaton of error, and maxmum error over a nave Bayesan network couplng, ndcatng that CBN s ndeed a superor model, estmatng swtchng actvty accurately and unformly over all the nodes. II. RELATED WORK tchng actvty estmaton through probablstc technques [10], [13], [14], [5] are fast and tractable, but typcally nvolve assumptons about jont correlatons. Probablstc technques use knowledge about nput statstcs to estmate the swtchng actvty of nternal nodes. In some of the poneerng works around ths dea, Najm et al. [17] estmated swtchng actvty through probablstc smulaton and n a later work, Najm et al. [11] ntroduced the concept of transton densty whch s a measure of swtchng actvty. However, these methods have been reported to yeld less accurate estmates when the nodes are hghly correlated. An mproved swtchng actvty estmaton strategy based on OBDD was proposed by Bryant [10], however, t had hgh space requrements. Ghosh et al. [5] modeled temporal correlaton effects n a real delay model. The computatonal complexty was, however, extremely hgh. Tagged probablty smulaton wth a partal real delay model was proposed by Dng et al. [13], whch was based on local OBDD propagaton capturng frst order spatal correlatons. Dependency modelng of swtchng actvty has been performed by many, but only partally. Present formalsms are not able to account for all types of spatal dependences. Kapoor [15] has modeled structural dependences and Schneder et al. [18] used one-lag Markov model to capture temporal dependence. Tsu et al. [16] modeled frst order spatal correlaton effcently. Schneder et al. [19] proposed a fast estmaton technque based on ROBDD where an approxmate soluton s provded for spatal correlaton to reduce tme complexty of the exact approach. Par-wse correlaton between crcut lnes were frst proposed by Ercolan et al. [12]. Marculescu et al. [21], studed temporal, spatal dependences jontly by a local OBDD that handles parwse correlatons. In a later poneerng work, Marculescu et al. [7], formulated hgher order correlatons by approxmatng them as a set of par-wse correlatons. Moreover, Marculescu et al. [7] was the frst to study the effect of hghly correlated nput streams where they tred to capture the nput correlaton approxmately. They also proved that correlatons exhbted n the nputs have sgnfcant effect on swtchng actvty throughout the crcut, and hence showed that power estmaton assumng random nputs s not the correct pcture. Our focus n ths paper s to analyze the theoretcal aspects of Bayesan nferencng and establsh upper bounds usng crcut parameters, whch help n determnng the sze and structure of each BN n the cascade. We formulate methods to capture the correlatons among the prmary nputs as well as the among the boundary nodes of CBN s usng the concept of treedependent (TD) probablty functon. The proposed cascaded Bayesan nferencng model ncorporates the above methods to mprove the estmaton accuracy wthout greatly ncreasng the computatonal cost. III. ANALYSIS OF BN INFERENCING In ths secton, we provde a theoretcal analyss of Bayesan nferencng for swtchng actvty modelng. The purpose of ths analyss s to decde whether a gven crcut can be mapped to a sngle BN or not, gven system constrants such as memory and CPU speed. Our goal s to partton the crcuts nto the least number of BNs keepng each of them as large as possble. Ths s because there s no loss of accuracy durng the probablty propagaton wthn a BN whle n cascaded BN s, some accuracy s lost at the boundares n the couplng between the BN s even wth the effort to reduce the loss by TD. Ths s a common challenge n probablstc approaches when large crcuts need to be parttoned for the computatons. In the next secton, we show how to mnmze these couplng losses by reducng the number of nstances when such losses can occur. For the nference process, the Bayesan network structure s transformed frst nto a moral graph (M) by removng the drectons on the lnks and mutually connectng the parents of a node. It should be noted that from ths pont onwards the drectonal propertes of BN wll not be needed n the nference process. Ths moral graph s then trangulated and a juncton tree of clques s formed, whch s used for the cluster based nferencng. It s known from [22] that Bayesan network nference process based on the juncton tree s lmted by the product of the number of nodes and the exponental of the maxmum clque sze n the juncton tree. Snce the trangularzaton of the moral graph s NP-hard, a mnmum fll-n heurstc s used for trangularzaton from whch clque set s obtaned by constructng the ntermedate elmnaton set. Hence, the maxmum number of nodes n the clques can be obtaned by the maxmum number of nodes n the elmnaton set. The trangularzaton s performed as follows: All the vertces of the moral graph are frst unlabeled. An unlabeled vertex that has the mnmum number of unconnected neghbors (only unlabeled neghbors) s chosen frst. Ths vertex s then labeled wth the hghest avalable node number, say, startng from a number equal to the total number of nodes. A set C, s then formed consstng of the selected vertex and ts stll unnumbered neghbors. Edges are flled n between any two unlnked nodes n ths set C. Then the maxmum avalable node number s decremented by 1. Ths process s repeated untl there s no unlabeled-numbered nodes. The resultant graph s guaranteed to be trangularzed. Note that each C s a complete subgraph by constructon and the set of these consttutes the clques of the graph G. The generated sequence of clques E={C } s s termed the elmnaton set of clques of the graph. In the moral graph shown n the left graph n Fgure 1 (the dotted lnes are acheved by connectng the parents durng moralzaton), node X 9 s frst selected snce no fll-n edge s needed because all the neghbors (remember the moral graph s undrected) are already lnked. Ths node X 9 s assgned the number 9 - the total number of nodes n the graph. The set C 9 s then formed by nodes {X 9, X 8, X 7 }. The nodes X 8 and X 7 are not yet numbered. For the second cycle, the nodes X 8, X 7, X 6,

3 3 Fg. 1. Moral Graph M and ts nduced graph w.r.t. orderng d. and X 4 cannot be selected as they each would requre one flln edge amongst ts neghbors, whereas the neghbors of X 3 do not requre any fll-n edges. Hence X 3 s numbered 8 n our example and C 8 s formed by {X 3, X 4, X 6 }. For the thrd cycle, we then select X 2, numberng t as 7 and formng C 7 = {X 2, X 1, X 5 }. In the fourth cycle, node X 1 s assgned 6 and C 6 = {X 1,X 5 } s formed. We then select X 5, assgn a number 5, and form C 5 = {X 5,X 6,X 7 }. Node X 8 s assgned number 4, and C 4 = {X 8, X 7, X 4 } s formed. In ths step, a fll-n edge between X 4 and X 7 s added. We then assgn the number 3 to X 7, the number 2 to X 6, and the number 1 to X 4. The resultant elmnaton set {C } obtaned from our example s {C 1,,C 9 } = {{X 4 },{X 6 },{X 7,X 6,X 4 }, {X 8,X 7,X 4 },{X 5,X 6,X 7 },{X 1,X 5 }, {X 2,X 1,X 5 },{X 3,X 4,X 6 }, {X 9,X 8,X 7 }} Defnton : Let G(V, E) contan p clques. An orderng [C 1,C 2,,C p ] possesses runnng ntersecton property f for every j > 1,, < j such that C j (C 1 C 2 C j 1 ) C. By the constructon of the elmnaton set, t possesses the runnng ntersecton property, namely, for C 4, {X 8, X 7, X 4 } ({X 4 } {X 6 } {X 7, X 6, X 4 })={X 4, X 7 } C 3. Ths property s essental for BN nferencng and s solely responsble for fast nferencng through message passng between neghborng clques. It s shown n [1] that f C 1, C k s a sequence of sets havng runnng ntersecton property and C t C p for some t p then the ordered set C = {C 1, C t 1,C p,c t+1,,c p 1,C p+1,c k } also has runnng ntersecton property. By ths property C t can be elmnated for all C t C p, p t. Hence, the elmnaton set can be reduced to obtan the mnmal ordered set of clques called Clque set representng the trangularzed graph completely. A juncton tree between these clques s then obtaned and the probablty for each clque s computed, requrng storage of the order of O(n.4 Cmax ) where n s the number of vertces and C max s the maxmum clque sze. In fact, updatng probabltes of the sngle varables n the clques can be performed n O(p.4 Cmax ) tme where p s the number of clques. Snce, the number of clques s generally much less than the number of vertces, memory requrement poses larger problem for BN nferencng. It can be easly concluded that snce the elmnaton set possesses runnng ntersecton property, the maxmum cardnalty of the elmnaton set s the maxmum cardnalty of the clque set. Hence, even before we compute the probablty functon for the clques and probablstc updatng, we can nfer accurately the complexty of the nference by constructng the elmnaton set. It s obvous that the elmnaton set can be obtaned by the mnmum fll-n heurstcs mentoned above n O(n + e) where n s the number of vertces and e s the number of edges and hence the complexty of obtanng the elmnaton set s essentally O(n 2 ). Snce the crcuts are reasonably sparse, the elmnaton tme s not too hgh for real benchmarks. In the n expermental secton, we tabulate total complaton tme n whch the moralzaton, trangularzaton (elmnaton set constructon s a part of ths step) and clque jont probabltes are computed. The overall complaton tme s less than 19 seconds and majorty of ths tme s consumed n calculaton of jont probabltes of the clques. For a large crcut, we compute n.4 Cmax ) and f t s larger than a threshold, we reduce the crcut. Obvously, we need a smpler bound for such parttonng and hence we develop O(n) bounds on the maxmum clque sze and we dscuss them n the next subsecton. A. Bounds for Crcut Structure In ths subsecton, we use the concept of nduced wdth [22] and obtan smple bounds based on nduced wdth, whch are computatonally smple and easly obtaned from crcut structure. These bounds serve as an approxmate ndex for complexty and can be used to partton the crcuts. We are concerned only wth undrected graph as our analyss concerns moral graph, whch s undrected. Defnton 1: The wdth w x of a node x n an undrected graph G s defned as the number of neghbors of the node that precedes ths node n a gven order d of the graph nodes. Defnton 2: The wdth of an orderng w G,d s defned as the maxmum wdth w x n the undrected graph G, wth respect to a partcular order d. Defnton 3: The nduced graph IG of an undrected graph G wth respect to a partcular orderng d can be obtaned by processng nodes n the reverse order of d (last to frst) and addng edges between each of the parents precedng to a node n the order d wth each node. For example, the nduced graph of the example graph M shown n the left sde of Fgure 1 wth respect to orderng (X 9, X 8, X 7, X 6, X 5, X 4, X 3, X 2, X 1 ) s llustrated n the rght hand sde of fgure. The wdth of any node wth respect to ths orderng can be obtaned usng the number of lnks drected downwards from those nodes. Defnton 4: The nduced wdth W G,d of an undrected graph G wth respect to a partcular order d s the wdth of orderng of the nduced graph IG. Hence, W G,d = w IG,d (1)

4 4 IEEE Transactons On VLSI, Vol. XX, No. Y, 2004 Defnton 5: The nduced wdth W G of a graph s the mnmum nduced wdth of the graph (G) over all possble orderngs. W G = mnw G,d d (2) Hence, for our analyss, for the undrected Moral graph M, we establsh an upper bound for the nduced wdth of the Moral graph W M whch s the mnmum of w IM,d for all possble orderng d, where IM denotes the nduced graph of the Moral graph M. W M = mnw M,d and W M,d = w IM,d (3) It s known [22] that the task of fndng the nduced wdth of a graph, whch entals consderng all possble node orderngs, s NP-hard. Hence, estmatng the exact nduced wdth s not feasble. However, a rough estmate of t s needed for parttonng the crcuts nto BNs such that each BN s large enough, to be handled by the avalable resources and mnmze error n the estmaton process. Hence, we establsh upper bounds on ths nduced wdth W M that can be computed effcently n terms of the crcut parameters. Theorem 1: Gven a combnatonal crcut, let I be the fann of the nput of the gate wth output, O be the fan-out of the gate wth output, I max be the maxmum fan-n of the gates of the crcut, O max be the maxmum fan-out of the gates of the crcut, and chld() be the set of output sgnals of a gate/buffer where s an nput. Then, an upper bound U WM of the nduced wdth of the moral graph of the BN s gven by: U WM = I max + I max O max (4) A tghter upper bound Ut WM s gven by: Ut WM = max(i + O + I j 1) (5) j chld() Proof: From Eq. 3 one can easly observe that W M W M,d, W M,d = w IM,d,and w IM,d max [w v] (6) v M The wdth of node n moral graph M can be obtaned wth the nformaton of node n the orgnal BN. Consderng the worst case where all the lnks connected to the node s precedng t n a partcular orderng. We then have w = I + O + I j 1 (7) j chld() where I denotes the number of lnks to the node s parents (edges n the BN), O denotes the number of lnks to the node s chldren (edges n the BN), and j chld() I j 1 denotes the number of extra edges added durng moralzaton, snce each node j wll have a maxmum ofi j 1 number of parents precedng, n the worst case orderng, whch wll be lnked wth. Hence, and maxw = max[i + O + I j 1] j chld() (8) W M max[i + O + I j 1] j chld() (9) Ut WM = max(i + O + I j 1) (10) j chld() TABLE I BN INFERENCE COMPLEXITY AND ITS UPPER BOUNDS. BN from Maxmum Ut WM U WM Crcuts Clque sze c c c c c c c c Now, t s evdent that Ut WM I max + O max + O max I max and hence smplfyng Ut WM U WM = I max + I max O max. In Table I, we show the dfference between the upper bound Ut WM, U WM and maxmum clque sze (W M + 1) for the BNs that are generated by randomly parttonng the ISCAS 85 crcuts. In our BN modelng, to control complexty of representaton, we replaced each node wth fan-n greater than 2 by a combnaton of nodes wth fan-ns of 2. Thus, the maxmum fan-n for our BNs are 2. The fan-outs can, of course, be larger than 2. The upper bound estmate can be used to decde on the sze of each BNs as we partton large crcuts nto cascaded BN. The memory requred to compute usng a BN wll be O(n.4 W M+1 ). We can easly compute both the upper bounds and number of nodes n to determne the sze of the ndvdual BN. In our approach we fnd that for most crcuts the number of segments are on an average around 5 and for c6288 and c7552, we need a maxmum of 18 ndvdual BNs. Note that the upper bounds are not tght. Ths s because we assume that all the fan-ns of the fan-outs of the node n consderaton are ordered before the selected node. Hence by choosng BNs based on ths bound, one mght select smaller Bayesan Networks than the memory would allow. Performance would thus vary wth dfferent parttonng schemes. In fact, f the estmaton s performed off-lne, the desgner mght want to use the exact nference complexty calculaton (O(n 2 )) through the dmenson of elmnaton set dscussed earler n ths secton. However, snce our goal s to prove that CBN works better than BN for any generc partton (good or bad), we use the computatonally nexpensve bounds. B. Parttonng Strateges In ths paper, we dd not address parttonng strateges comprehensvely, n fact the purpose of ntroducng TD s to allevate the effect of a bad partton and ths paper concentrates on that effort. However, we provde the gudelnes for best parttonng scheme that can generate best segmentaton. We wll also dscuss parttonng method used here for expermentaton. The deal parttonng requres that each Bayesan Network s large gven a resource of the computng devce and also where the boundary nodes between the Bayesan Networks are least correlated. The largeness of a Bayesan Network s measured n terms of spatal requrements for nference whch s

5 5 A B (a) (a) O C A B C D O D A E A B E B E O (b) C O E F (b) Fg nput AND gate bult through 2-nput AND gates: probablstc nference τ = n.4 Cmax ) where C max s the maxmum clque sze and n s the number of nodes n the Bayesan Networks. Hence the parttonng problem through mn-cut becomes How can we partton a Bayesan Network nto smaller loosely coupled ones such that each Bayesan Network has largest τ value (determned by the memory resource of the computng devce) and the boundary nodes have least mutual correlaton whch can be denoted as X,X j I(X, X j ) descrbed n Eq. 14 for all boundary nodes? We need to compute I(X,X j ) between two boundary nodes even before the probabltes are propagated and hence crcuts features have to be used to measure mutual correlaton between nodes. An easy ndex of correlaton s nodes wth same parent and grand parents are lkely to be hghly correlated. Our am s however to propose Tree-dependent dstrbuton to capture the lost correlaton durng any parttonng. Hence, we heurstcally tackled the largeness ssue and boundary nodes correlaton captured by TD. Steps nvolved n creatng multple Bayesan Networks from combnatonal crcuts are as follows: Step 1 Re-arrangng the node numberng that such parents of a node are always numbered lower than the chldren. Ths ensures that all boundary nodes would have ther ancestors n the prevous BNs. Step 2 Nodes wth more than two parents are realzed as a combnaton of nodes wth two parents. These nodes are dummy nodes and as t can be seen from Fgure 2 reduces maxmum clque-sze n (b) and hence reduces computatonal complexty. The accuracy of swtchng actvty as depcted n Fgure 2 s unaffected by ths effort. Even for real-delay modelng, whch we are currently nvestgatng wth Bayesan Networks, these dummy gates are assgned zero delay. Whle the TD constructon, rght now, we check all the boundary nodes for mutual correlaton, the accuracy of tree-dependent dstrbuton s not affected. Step 3 Through expermentaton, we fgure the resource constrant of our computng devce whch s the allocated memory for runnng a sngle applcaton. Our ntal attempt s to take 500 nodes n one BN. Ths means that the frst 500 nodes wth all the edges are clubbed nto one group. Maxmum clque sze s then estmated by the bounds descrbed n prevous secton. If τ = 4 C max.n where n s the number of nodes (and number of states for each node s 4) exceeds the resource constrant number, we reduce the last 50 nodes (and relevant edges) and try F D C D F F Node A C O Node A C E O (a) (b) Node B D Node B D F to nfer the computatonal complexty and stop when τ roughly matches 4 C max.n. Thus, we get reasonably large ndvdual networks. If τ s less than the resource constrant number we add 250 nodes wth all the edges and re-estmate 4 C max.n tll t exceeds τ. The reducton s done then by 50 nodes as before tll we get a match. The numbers (500, 250, 50) are obtaned completely by tral and error. Thus, accuracy of the entre cascade structure s pre-domnantly determned by the nternal nodes of the ndvdual Bayesan Networks. It has to be noted that we dd not select BN by choosng least correlated boundary nodes at the boundary but n the next secton, we dscuss tree-dependent dstrbuton and cascade structure whch handles and re-capture lost nformaton n the boundary nodes. In expermental results, we demonstrate the effect of TD on varous partton ponts of c432 benchmark and show that TD mproves the accuracy to a great extent when ndvdual BNs are suffcently large. IV. CASCADED BN MODELING In ths secton, we frst ntroduce the Tree-Dependent dstrbuton (TD) as an approxmaton for the actual probablty functon of the swtchng varables of nterest. A Tree-Dependent dstrbuton s the closest dstrbuton to the actual underlyng probablty dstrbuton of the swtchng varables wth the constrant that the BN structure s a tree. Ths ensures that the TD s the best possble tree that captures the dependences (spatal, temporal and spato-temporal) closest to those exhbted by the actual dstrbuton. Next, we utlze the approxmate TD to model the correlatons amongst the prmary nputs as well as amongst the boundary nodes between the adjacent BNs n CBN model. A. Tree-Dependent Dstrbuton We formally defne a tree-dependent structure that we supermpose on the boundary nodes of the CBN s beng cascaded. Next, we adapt the optmalty results for TD functon structure and hence, restate the proof of optmalty from [3]. Defnton 6: Any tree-dependent dstrbuton P t (x) can be defned as a Markov feld relatve to the tree t whch can be wrtten as the product of n 1 par-wse condtonal probablty dstrbutons, p t (x)= p(x x j() ) (11) where X j() s the desgnated parent of X n some orentaton of the tree t. The root node X 1 s chosen arbtrarly wthout any parents and P(x 1 x 0 )=P(x 1 ). Apart from the memory requrement, only second order statstcs are needed to construct the tree. Our goal s to construct a tree over n varables, representng the nput nodes, that s the closest representaton of the underlyng jont probablty functon over the n varables. Hence, out of all the spannng tree over the n varables that can be constructed, we have to select the one whch preserve the correlatons to a maxmum level. For ths, we use a dstance measure between two dstrbuton P and P known as Kullback-Lebler cross-entropy measure [4] n Eq. 12.

6 6 IEEE Transactons On VLSI, Vol. XX, No. Y, 2004 D(P,P )= P(x)log(P(x)/P (x)) (12) x A low dstance measure between P and P ndcates that the two dstrbuton almost concde wth each other. Now, we have two subgoals: (1) To choose the best condtonal probabltes between the parent and the chld nodes n the tree gven a fxed tree t such that P t s the best approxmaton of P. Ths dstrbuton s called the projecton of P on t, PP t. And, (2) to choose a tree from a set of all the spannng trees over the nodes such that t would make the projecton P on ths tree PP t closest to P. We wll use the two followng theorems to arrve at a tree structure [3]. Theorem 2: The projecton of P on t s characterzed by the equalty PP t (x x j() )=P(x x j() ) (13) Proof n [3]. Ths mples that the condtonal probabltes for a branch a tree has to concde wth that computed from P wll produce the best projecton of P on t, PP t. Theorem 3: The dstance measure of Equaton 12 s mnmzed by projectng P on any maxmum weght spannng tree (MWST) where the weght of the branch (X,X j ) s defned by the nformaton measure between them I(X,X j )= x,x j P(x,x j )log ( P(x,x j ) P(x )P(x j ) ) (14) Proof n [3]. We can use any algorthm for dervng the MWST. The steps we follow are lsted below n Fgure 3. Usng a treestructured representaton ensures that storage proportonal to (r 1)r(n 1)+r 1 [2] s used where r s the number of states (n our case r =4)andn s the number of varables of the prmary nputs whch s much less than r n, whch would be needed for a complete representaton. Moreover, by the above algorthms, we ensure that at least the parwse correlatons are captured effectvely and propagated to the nternal nodes. B. Tree Dependent Input Modelng The nputs to a combnatonal block can be correlated wth each other. Ths may happen because the nputs could be outputs of another combnatonal block and ts structural dependences wll force the prmary nputs of the current blocks to be correlated. Moreover, hgh correlatons are exhbted f the nputs are generated by some sequental crcuts lke counters. tchng correlatons among the prmary nput nodes can affect swtchng actvty estmates across the whole crcuts. An deal way to model the nput swtchng would be, gven a tranng set of nput lne transton, to learn an exact swtchng model n terms of a jont probablty functon over the nput lnes, whch, of course, can also be represented by a Bayesan network (BN). Ths learned BN then would be coupled wth the BN representaton of the combnatonal crcut. There are, however, two practcal problems assocated wth ths approach. 1. Learnng exact BN s NP-hard. Hence, n practce we can only learn an approxmate BN representaton. Calculate the P(x, x j ) for all par n the boundary from the overall dstrbuton Calculate the branch weght I(X, X j) for all of the edges n the boundary Assgn the edges wth the largest I to the tree f t s not formng a cycle Repeat the above step untl n-1 edges have been selected t P (x) can be computed by assgnng correct P condtonal probabltes Fg. 3. Steps nvolved n constructon of MWST 2. The exact BN representaton mght, dependng on the correlatons present amongst the nput lnes, have hgh computatonal complexty by tself (dscussed n secton III), thus allowng only a small szed CBNs and forcng a sgnfcant ncrease n the number of cascaded segments. The large number of BNs wll not only result n loss of accuracy, t wll also ncrease the estmaton tme. Thus, we resort to TD based approxmate modelng as a practcal compromse of the accuracy of representaton of dependences and computatonal costs n terms of tme and storage. For prmary nput modelng, we obtan the parwse probablty functon based on the nput statstcs provded by the user, whch we then use to compute the mutual nformaton and the MWST, as shown as outlned n Fgure 3. C. Tree Dependent CBN Model Snce, large crcuts wth large nduced wdths can not be modeled usng a sngle BN structure, parttonng nto Cascaded BNs s nevtable. How do we couple these Cascaded-BNs? What knd of nformaton passes between them? One possblty that we explore n [8] s nave couplng where two adjacent BNs have consstency n terms of sngleton probabltes. However, ths results n loss of accuracy for not capturng any correlaton between the boundary nodes. One observaton that helps s that some of the nodes of one BN are nputs to another BN. Thus, the BN couplng problem can be seen as an nstance of the prmary nput modelng problem, where the nputs are not

7 7 Fg. 4. Navely coupled adjacent BNs G 1 and G 2 of a graph G wth common edges. Fg. 5. TD coupled adjacent BNs G 1 and G 2 of a graph G wth common edges. the prmary nput lnes but nodes n the prevous BN. Hence, lke the nput modelng problem, we could use the approxmate tree-dependent representaton over the nput nodes. Learnng an accurate BN structure s, of course, another possblty, but that s computatonally prohbtve. Besdes, the accuracy acheved by an accurate Bayesan Network model over the segment boundares may be nullfed by the loss of accuracy due to ncreased number of BNs n the CBN that would be necessary. The accuracy mprovement by accurate Bayesan Network modelng may become margnal as opposed to the computatonal tme and memory requrements. Hence, as for prmary nput modelng, we resort to an approxmaton technque whch s a good compromse between accuracy and memory requrement. As an llustraton of nave and TD-BN couplng, consder two segments G 1 and G 2, shown n Fgure 4, whch are two parts of one network G. Let the edges (U,V),(W,X),(Y,X) and (Y, Z) be the four edges that have the frst node n G 1 and the second node n G 2. In both nave and TD based couplng we remove edges (U,V), (W, X), (Y, X) and (Y, Z) from G 1,however these common nodes U, W and Y are retaned n G 1.We then reproduce the common nodes n G 2 along wth the lnks (U,V),(W,X),(Y,X) and (Y,Z) that were removed from G 1.In case of nave couplng (Fgure 4), we treat these common nodes as prmary nputs of G 2 and assgn the sngleton probabltes of these common nodes based on the computaton of the nference engne from G 1. However, n case of TD based CBN couplng, we do not want to lose the correlaton between nodes U, W and Y n G 2. Hence we construct the tree-dependent dstrbuton on these boundary nodes based on ther mutual correlatons n G 1.InG 2, we add all four edges, along wth a tree structure between nodes U, W and Y shown n the dashed lnes n Fgure 5. Ths tree captures sgnfcant nformaton from G 1 and propagates them to G 2. It has to be noted that tree-dependent dstrbuton s computed purely by the nformaton and the correlaton exhbted between the boundary nodes n segment G 1. Snce, any tree can be represented as a Bayesan Network, we cascade the tree nto G 2 and obtan a combned Bayesan Network for the second segment. Thus, every Bayesan Network model of a part of the crcut now captures accurate correlaton n the nternal nodes of ts own and captures sgnfcant correlatons from the prevous BN. It has to be noted here that we wll fnally have n 1 edges, that would be selected from n 2 edges n each boundary. Moreover, computng mutual nformaton between two nodes n dfferent clques s computatonally expensve. The reason for that s the compled BN tself complete use the exstng resource and hence computng the margnal jont probabltes requres large memory accesses. In expermental results, we report our observaton and t can be seen that buldng a tree wthout any heurstcs, can consume large computatonal tme whch underplays the accuracy mprovement. In the next secton, we develop a heurstc n tree buldng that we use a good trade-off between accuracy and tme. D. Approxmate CBN Modelng In ths subsecton, we propose an approxmate cascade structure whch n theory s same as the CBN model dscussed before and reles on the same tree-dependent dstrbuton that s dscussed n secton A. However, we do not explore all the n 2 edges to compute the best n 1 edges. Instead, we use the crcut structure to select n 1 edges. We calculate mutual nformaton between two boundary nodes only f they have a common chld n the next BN segment or have common parent. Snce our data structure stores parents of a node effcently, we also calculate mutual nformaton between nodes havng common grandparents. In crcut terms, ths mples that we collect mutual correlaton for output sgnals of logc gates whch have common nputs. Also, we calculate mutual nformaton for sgnals that are nputs to one gate generatng output sgnal. We present the steps to generate the heurstc tree for the tree-dependent dstrbuton. Generate node par n the boundary nodes that have common chldren. Generate node par that have common nputs. Ths s performed before breakng larger fan-ns nto smaller ones. Generate node par that have common parents for parents of the boundary nodes. Ths s also performed n the orgnal network. Calculate P(X, X j ) for all the nodes from the above node par, j. Calculate I(X, X j ) for all the nodes from the above node par, j. Assgn the edges wth largest I to the tree f t s not formng cycle. Repeat tll n 1 edges are selected or f the all the node

8 8 IEEE Transactons On VLSI, Vol. XX, No. Y, 2004 TABLE II COMPARISON OF ESTIMATED SWITCHING ACTIVITY BY BN MODELING AND SIMULATED SWITCHING ACTIVITY OF EACH NODE OF BENCHMARK C17 FOR DIFFERENT INPUT TYPES. Fg. 6. Combnatonal crcut c17 pars are consdered. (x) s computed y assgnng the condtonal probablty to the node par. P t P V. EXPERIMENTAL RESULTS AND CONCLUSIONS We mapped several ISCAS crcuts to ther correspondng Cascaded Bayesan Networks representaton. The condtonal probabltes are pre-determned by the type of gate connectng the parents and the chld. We have already dscussed n Secton III that each node n Bayesan network represents swtchng at a lne n the crcut and can be n one of the four states (x 00, x 01, x 10, x 11 ). Condtonal probabltes are computed drectly from logc structure of the gates. We used HUGIN s Bayesan Network tool [23] for complng the juncton tree and propagatng the probabltes. We also performed n-house zerodelay logc smulaton provdng ground truth estmates of swtchng. Usng zero-delay model s a lmtaton for the current formalsm. Most of the prevous work n probablstc modelng presents result on zero-delay smulaton. In our experments, we want to establsh that the treedependent dstrbuton works accurately for nput streams wth dfferent degree of correlaton. Even for low nput correlatons the TD based Cascaded BN should perform better than BN modelng. It s an extremely essental feature of a power estmator to address nputs wth a wde range of correlatons. Many past estmators assumed random nputs. Marculescu et. al. expermentally modeled spato-temporal dependences n nput correlatons n [7]. The drastc effect of nput correlatons (low, medum and hgh) can be observed n Table IV. Frst, let us consder the swtchng actvty estmaton of each node of the c17, whch s a ISCAS benchmark that s small enough to be consdered n ths fashon under varous degrees of correlatons. The fan-out nodes from 3 are 8 and 9. Nodes 14 and 15 are fan-outs from 11 and nodes 20, 21 are fan-outs from 16. As t can be seen n Fgure 6, that the nodes 10 and 11 are hghly correlated and the nodes 16 and 19 are hghly correlated to each other. Snce the nodes 10 and 16 both are dependent on node 3 they are correlated too. We performed three experments () nputs wth low correlatons (random nputs), () temporally based nputs and () hghly correlated counter generated nputs. Table II lsts the estmates for all the nodes. As t can be observed, at all the nodes, we have extremely accurate estmates. Moreover, t becomes very evdent that the average swtchng actvty for these three cases are ndeed affected by the dependences n the nputs (0.462 for random nputs, Node Random Inputs Temporally Correlated Spatotemporally Correlated Est. BN Sm. Est. BN Sm. Est. BN Sm for temporally based nputs and for hghly correlated nputs) from each other showng us the need for nput-modelng. It s worth notng that partton ponts would have mpact on accuracy and n ths paper, our partton s based on resourceconstrants. The parttonng algorthms that reduces correlated boundary nodes, would enhance accuracy of ths model further. Table III shows the BN and approxmate CBN model for dfferent partton ponts for benchmark crcut c432. It s clear that CBN offers lower mean and devaton for almost all cases over nave BN. Next, we show results on other benchmark crcuts. As before, we use a random number generator (rand functon n C++) for the nputs exhbtng low correlatons (Table V). Adoptng Marculescu et al. s [7] strategy, we generated a medum correlaton scenaro by sortng the sequences from the random number generator (Table VI). It has to be the noted that by sortng the sgnal probabltes of each nput node remans the same as that produced by the random generator but correlatons between the nodes are ntroduced. Fnally, we use 16 bt counters to generate hghly correlated sequences for the experments (Table VII). These errors and standard devaton of errors (RMS) are obtaned by comparng the estmated value of swtchng actvty by CBN model and the swtchng actvty values obtaned from an n-house zero-delay logc smulators. In Table V, we show three types of Bayesan Network based model for nputs wth low correlaton. The frst set denotes nave Bayesan Network couplng. Ths model makes all the

9 9 TABLE V COMPARISON OF RESULTS BETWEEN BN AND CBN BASED MODEL FOR INPUTS WITH LOW CORRELATIONS BN model CBN model Approx. CBN model crcut µ σ max Tme(s) µ σ max T- BN(s) T- TD(s) µ σ max T- BN(s) T- Th(s) c c c c c c c TABLE VI COMPARISON OF RESULTS BETWEEN BN AND CBN BASED MODEL FOR INPUTS WITH MEDIUM CORRELATIONS BN model Approx. CBN model Crcuts µ σ Max Tme(s) µ σ Max T BN (s) T-tree(s) c c c c c c c TABLE VII COMPARISON OF RESULTS BETWEEN BN AND CBN BASED MODEL FOR INPUTS WITH HIGH CORRELATIONS. BN model Approx. CBN model Crcuts µ σ Max Tme(s) µ σ Max T BN (s) T-tree(s) c c c c c c c c Bayesan Networks consstent on the sngleton probabltes of the boundary nodes. The mean, standard devaton, maxmum error and total computaton tme s reported n column 2, 3, 4 and 5. The next set s based on tree-dependent CBN model. In ths set, we explore all the n 2 possble edges n the boundary nodes to arrve at the best Maxmum weght spannng tree. The mean, standard devaton, maxmum error and total computaton tme n Bayesan Networks and n the tree computaton s reported n column 6, 7, 8, 9, and 10 respectvely. Accuracy of ths model s much hgher than that of the nave couplng. It s obvous that the tme to buld the tree can be hgh for some benchmarks. In the thrd set, we report results from the approxmate CBN model where the best tree s selected from the nodes whch have common nputs, or whch are common nputs for another gate (dscussed n secton D. The mean, standard devaton, maxmum error and total computaton tme n Bayesan Networks and n the tree computaton s reported n column 11, 12, 13, 14, and 15 respectvely. It s obvous that these treedependent approxmate model s the best trade-off between accuracy and speed. For medum and hgh correlaton scenaros, we report and compare results for the nave coupled BN and the approxmate CBN models. It can be observed that the TD-CBN results n hgh accuracy n terms of mean and standard devaton of error. Wth TD, the mean s n general lower than that wthout TD-based models. Standard devaton of error whch sgnfes

10 10 IEEE Transactons On VLSI, Vol. XX, No. Y, 2004 TABLE III MODELING ERRORS FOR TEN DIFFERENT PARTITIONS OF C432. BN model CBN model wth TD # µ σ Max µ σ Max TABLE IV SWITCHING ACTIVITY AND PRIMARY INPUT CORRELATION Average tchng Actvty under Crcuts Low Corr. Medum Corr. Hgh Corr. c c c c c c c the dversty of error estmates are 1.5 to 2 tmes smaller wth TD based couplng than the nave one for most cases. For many crcuts, we have sgnfcant reducton of the maxmum errors. The reducton n standard devaton and the maxmum errors sgnfes that the estmaton based on CBN models are not only more accurate but also more unform. The error dstrbuton wth CBN and BN models for both low, medum and hghly correlated nputs for benchmark c1355 and c6288 are shown n Fgures 7, 8 (CBN n yellow (or lght) and BN n red (or dark)). We exclude all the nodes that are estmated close to zero error to have a far comparson of hgh-end errors. CBN has sgnfcantly hgher number of nodes n the zero-error range (though not seen n the graph) whch s exactly the expected behavor. It s obvous that wth TD based CBN modelng, we acheve very low error spread compared to nave BN models. We used a DELL PC wth WINDOWS (98 SE) operatng system runnng at 2 GHz and the maxmum tme for the nput modelng was 1.32s. We can conclude that TD based CBN modelng s essental for accurate and unform swtchng actvty estmaton of all the nodes n the entre crcut and s also equally mportant for modelng correlated nputs. We compare our work wth a few exstng work n Table VIII for random nputs and show that we perform really well n terms of accuracy of estmaton. VI. CONCLUSION Ths paper advances the accuracy of the Bayesan network based swtchng actvty estmaton tool, whch captures depen- TABLE VIII COMPARISON WITH SCHEIDER et al. [19] AND MARCULESCU et al. [7]. Ref. [19] Ref. [7] CBN-TD Crc. µ Err µ Err Max µ Err Max c c c c c c c dences, both n the nternal nodes and n nputs, n reasonable tme and wth hgh accuraces. We suggest ways to handle large crcuts usng Cascaded BNs where each BN n the cascade exactly models spato-temporal dependences among the nodes and approxmate couplngs between BNs are performed by TD dstrbutons, whch are a compromse between space complexty and accuracy. Ths approach s stmulus-free even for modelng correlated nput streams. We also dscuss complexty ssues for BN nference schemes and suggest parttonng gudelnes to form the CBN. The results are very compettve n terms of accuracy and the elapsed tme for estmaton. Our future effort wll focus on modelng delays. REFERENCES [1] R. G. Cowell, A. P. Davd, S. L. Laurtzen, D. J. Spegelhalter, Probablstc Networks and Expert Systems, Sprnger-Verlag New York, Inc., [2] J. Pearl, Probablstc Reasonng n Intellgent Systems: Network of Plausble Inference, Morgan Kaufmann Publshers, Inc., [3] C. K. Chow, C. N. Lu, Approxmatng Dscrete Probablty Dstrbutons wth Dependence Trees, IEEE Transacton on Info. Theory, vol. 14, pp , [4] S. Kullback, R. A. Lebler, Informaton and Suffcency, Ann. Math. Statstcs, vol. 22, pp , [5] A. Ghosh, S. Devadas, K. Keutzer, and J. Whte, Estmaton of Average tchng Actvty n Combnatonal and Sequental Crcuts, Proceedngs of the 29th Desgn Automaton Conference, pp , June [6] G. F. Cooper, The Computatonal Complexty of Probablty Inference Usng Bayesan Belef Networks,Artfcal Intellgence, vol. 42, pp , [7] R. Marculescu, D. Marculescu, and M. Pedram, Probablstc Modelng of Dependences Durng tchng Actvty Analyss, IEEE Transacton on Computer-Aded Desgn of Integrated Crcuts and Systems, vol. 17-2, pp , February [8] S. Bhanja, N. Ranganathan, Dependency Preservng Probablstc Modelng of tchng Actvty usng Bayesan Networks, 38th Desgn Automaton Conference, pp , [9] S. Bhanja, N. Ranganathan, tchng actvty estmaton of VLSI crcuts usng Bayesan networks, IEEE Transactons on Very Large Scale Integraton (VLSI) Systems, vol. 11-1, pp , [10] R. E. Bryant, Symbolc Boolean Manpulaton wth Ordered Bnary- Decson Dagrams, ACM Computng Surveys, vol. 24, no. 3, pp , Sept [11] F. N. Najm, Transton Densty: A New Measure of Actvty n Dgtal Crcuts, IEEE Transacton on Computer-Aded Desgn of Integrated Crcuts and Systems, vol 12-2, pp , Feb [12] S. Ercolan, M. Favall, M. Daman, P. Olvo, and B. Rcco, Testablty Measures n Pseudorandom Testng, IEEE Transactons on CAD, vol. 11, pp , June [13] C.-S. Dng, C.-Y. Tsu, and M. Pedram, Gate-Level Power Estmaton Usng Tagged Probablstc Smulaton, IEEE Transacton on Computer- Aded Desgn of Integrated Crcuts and Systems, vol , pp , November, 1998.

11 11 (a) (b) (c) Fg. 7. Error dstrbuton for c1355 for nput streams wth low (a), medum (b) and hgh (c) correlaton. (BN: dark or red; CBN: lght or yellow) (a) (b) (c) Fg. 8. Error dstrbuton for c6288 for nput streams wth low (a), medum (b) and hgh (c) correlaton. (BN: dark or red; CBN: lght or yellow) [14] K. Parker, and E. J. McCluskey, Probablstc Treatment of General Combnatonal Networks, IEEE Trans. on Computers, vol. C-24, pp , June [15] B. Kapoor, Improvng the Accuracy of Crcut Actvty Measurement, Proc. ACM/IEEE Desgn Automaton Conference, pp , June [16] C.-Y. Tsu, M. Pedram and A. M. Despan, Effcent Estmaton of Dynamc Power Dsspaton wth an Applcaton, Proc. ACM/IEEE Desgn Automaton Conference, pp , Nov [17] F. N. Najm, R. Burch, P. Yang, and I. N. Hajj, Probablstc Smulaton for Relablty Analyss of CMOS Crcuts, IEEE Transacton on Computer-Aded Desgn of Integrated Crcuts and Systems, vol. 9-4, pp , Aprl [18] P. Schneder, and U. Schlchtmann, Decomposton of Boolean Functons for Low Power Based on a New Power Estmaton Technque, Proc Int l Workshop on Low Power Desgn, pp , Aprl [19] P. H. Schneder, U. Schlchtmann, and B. Wurth, Fast power estmaton of large crcuts, IEEE Desgn & Test of Computers, vol. 13-1, pp , Sprng [20] A. K. Murugavel and N. Ranganathan, Petr net modelng of gate and nterconnect delays for power estmaton, Desgn Automaton Conference, pp , [21] R. Marculescu, D. Marculescu, and M. Pedram, tchng Actvty Analyss Consderng Spatotemporal Correlatons, Proc Intl. Conference on Computer Aded Desgn, pp , Nov [22] R. Dechter, Topologcal Parameters for Tme-space Tradeoffs, Uncertanty n Artfcal Intellgence, pp , [23] URL Sanjukta Bhanja receved her BE and MSc (Engg.) n Electrcal Engneerng from Jadavpur Unversty, Calcutta and the Indan Insttute of Scence, Bangalore, respectvely. She receved her Ph.D n Computer Scence and Engneerng from Unversty of South Florda, Tampa n Dec She s currently an Assstant Professor n the Department of Electrcal Engneerng at the Unversty of South Florda, Tampa. Her research nterests ncludes desgn automaton, low power VLSI desgn, power estmaton, optmzaton, system-on-achp, graphcal probablstc belef model, dependency analyss, computng under uncertanty n devces, VLSI testng, and expert systems based modelng. Nagarajan (Ranga) Ranganathan (S 81-M 88- SM 92, F 02) receved the B.E. (Honors) degree n electrcal and electroncs Engneerng from Regonal Engneerng College, Truchrapall, Unversty of Madras, Inda n 1983, and the Ph.D. degree n computer scence from the Unversty of Central Florda, Orlando n He s currently a professor n the Department of Computer Scence and Engneerng and the Nanomaterals and Nanoelectroncs Research Center at the Unversty of South Florda, Tampa. Hs research nterests nclude VLSI system desgn, VLSI desgn automaton, low power desgn, computer archtecture and bonformatcs. He has developed many specal purpose VLSI chps for computer vson, mage processng, pattern recognton, data compresson and sgnal processng applcatons. He has co-authored about 200 papers n reputed journals and conferences and s a co-owner of fve U.S. patents. He was elected as Fellow of IEEE for hs contrbutons to algorthms and archtectures for VLSI systems desgn. Dr. Ranganathan s a member of IEEE, IEEE Computer Socety, IEEE Crcuts and Systems Socety and the VLSI Socety of Inda. He served as the char of the IEEE Computer Socety Techncal Commttee on VLSI durng He has served on the program commttees of nternatonal conferences such as ISLPED, ICCD, CAMP, ICPP, IPPS, SPDP, VLSI Desgn and ICHPC. He has served on the edtoral boards of varous journals such as Pattern Recognton, Intl. Journal of VLSI Desgn, IEEE Transactons on VLSI Systems, IEEE Transactons on Crcuts and Systems TCAS-II, and IEEE Transactons on CAS for Vdeo Technology. He served as the steerng commttee char for the IEEE Transactons on VLSI Systems durng He s currently servng as the Edtor-In-Chef of the IEEE Transactons on VLSI Systems. He receved the USF Dvson of Sponsored Research Outstandng Research Achevement Award n 2002, the USF Presdent s Faculty Excellence Award n 2003, the Theodore-Venette Askounes Ashford Dstngushed Scholar Award n 2003, and the SIGMA XI Scentfc Honor Socety Tampa Bay Chapter Outstandng Faculty Researcher Award n He was a co-recpent of two Best Paper Awards at the Intl. Conf. on VLSI Desgn n 1995 and 2004.

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

Review: Our Approach 2. CSC310 Information Theory

Review: Our Approach 2. CSC310 Information Theory CSC30 Informaton Theory Sam Rowes Lecture 3: Provng the Kraft-McMllan Inequaltes September 8, 6 Revew: Our Approach The study of both compresson and transmsson requres that we abstract data and messages

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto Unversty Repostor Ttle Wreless LAN Based Indoor Poston and Its Smulaton Author(s) Ktasuka, Teruak; Nakansh, Tsune CtatonIEEE Pacfc RIM Conference on Comm Computers, and Sgnal Processng

More information

NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION

NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION Phaneendra R.Venkata, Nathan A. Goodman Department of Electrcal and Computer Engneerng, Unversty of Arzona, 30 E. Speedway Blvd, Tucson, Arzona

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Generalized Incomplete Trojan-Type Designs with Unequal Cell Sizes

Generalized Incomplete Trojan-Type Designs with Unequal Cell Sizes Internatonal Journal of Theoretcal & Appled Scences 6(1): 50-54(2014) ISSN No. (Prnt): 0975-1718 ISSN No. (Onlne): 2249-3247 Generalzed Incomplete Trojan-Type Desgns wth Unequal Cell Szes Cn Varghese,

More information

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation 1 Parameter Free Iteratve Decodng Metrcs for Non-Coherent Orthogonal Modulaton Albert Gullén Fàbregas and Alex Grant Abstract We study decoder metrcs suted for teratve decodng of non-coherently detected

More information

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding Sde-Match Vector Quantzers Usng Neural Network Based Varance Predctor for Image Codng Shuangteng Zhang Department of Computer Scence Eastern Kentucky Unversty Rchmond, KY 40475, U.S.A. shuangteng.zhang@eku.edu

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

Learning Ensembles of Convolutional Neural Networks

Learning Ensembles of Convolutional Neural Networks Learnng Ensembles of Convolutonal Neural Networks Lran Chen The Unversty of Chcago Faculty Mentor: Greg Shakhnarovch Toyota Technologcal Insttute at Chcago 1 Introducton Convolutonal Neural Networks (CNN)

More information

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems 0 nd Internatonal Conference on Industral Technology and Management (ICITM 0) IPCSIT vol. 49 (0) (0) IACSIT Press, Sngapore DOI: 0.776/IPCSIT.0.V49.8 A NSGA-II algorthm to solve a b-obectve optmzaton of

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

Algorithms Airline Scheduling. Airline Scheduling. Design and Analysis of Algorithms Andrei Bulatov

Algorithms Airline Scheduling. Airline Scheduling. Design and Analysis of Algorithms Andrei Bulatov Algorthms Arlne Schedulng Arlne Schedulng Desgn and Analyss of Algorthms Andre Bulatov Algorthms Arlne Schedulng 11-2 The Problem An arlne carrer wants to serve certan set of flghts Example: Boston (6

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

Unit 1. Current and Voltage U 1 VOLTAGE AND CURRENT. Circuit Basics KVL, KCL, Ohm's Law LED Outputs Buttons/Switch Inputs. Current / Voltage Analogy

Unit 1. Current and Voltage U 1 VOLTAGE AND CURRENT. Circuit Basics KVL, KCL, Ohm's Law LED Outputs Buttons/Switch Inputs. Current / Voltage Analogy ..2 nt Crcut Bascs KVL, KCL, Ohm's Law LED Outputs Buttons/Swtch Inputs VOLTAGE AND CRRENT..4 Current and Voltage Current / Voltage Analogy Charge s measured n unts of Coulombs Current Amount of charge

More information

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks 74 Internatonal Journal of Communcaton Networks and Informaton Securty (IJCNIS) Vol. 3, No., Aprl 0 A Fuzzy-based Routng Strategy for Multhop Cogntve Rado Networks Al El Masr, Naceur Malouch and Hcham

More information

Weighted Penalty Model for Content Balancing in CATS

Weighted Penalty Model for Content Balancing in CATS Weghted Penalty Model for Content Balancng n CATS Chngwe Davd Shn Yuehme Chen Walter Denny Way Len Swanson Aprl 2009 Usng assessment and research to promote learnng WPM for CAT Content Balancng 2 Abstract

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE46, Power Electroncs, DC-DC Boost Converter Verson Oct. 3, 11 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

Webinar Series TMIP VISION

Webinar Series TMIP VISION Webnar Seres TMIP VISION TMIP provdes techncal support and promotes knowledge and nformaton exchange n the transportaton plannng and modelng communty. DISCLAIMER The vews and opnons expressed durng ths

More information

ANNUAL OF NAVIGATION 11/2006

ANNUAL OF NAVIGATION 11/2006 ANNUAL OF NAVIGATION 11/2006 TOMASZ PRACZYK Naval Unversty of Gdyna A FEEDFORWARD LINEAR NEURAL NETWORK WITH HEBBA SELFORGANIZATION IN RADAR IMAGE COMPRESSION ABSTRACT The artcle presents the applcaton

More information

Adaptive Modulation for Multiple Antenna Channels

Adaptive Modulation for Multiple Antenna Channels Adaptve Modulaton for Multple Antenna Channels June Chul Roh and Bhaskar D. Rao Department of Electrcal and Computer Engneerng Unversty of Calforna, San Dego La Jolla, CA 993-7 E-mal: jroh@ece.ucsd.edu,

More information

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results AMERICAN JOURNAL OF UNDERGRADUATE RESEARCH VOL. 1 NO. () A Comparson of Two Equvalent Real Formulatons for Complex-Valued Lnear Systems Part : Results Abnta Munankarmy and Mchael A. Heroux Department of

More information

Understanding the Spike Algorithm

Understanding the Spike Algorithm Understandng the Spke Algorthm Vctor Ejkhout and Robert van de Gejn May, ntroducton The parallel soluton of lnear systems has a long hstory, spannng both drect and teratve methods Whle drect methods exst

More information

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET)

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET) A Novel Optmzaton of the Dstance Source Routng (DSR) Protocol for the Moble Ad Hoc Networs (MANET) Syed S. Rzv 1, Majd A. Jafr, and Khaled Ellethy Computer Scence and Engneerng Department Unversty of Brdgeport

More information

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode A Hgh-Senstvty Oversamplng Dgtal Sgnal Detecton Technque for CMOS Image Sensors Usng Non-destructve Intermedate Hgh-Speed Readout Mode Shoj Kawahto*, Nobuhro Kawa** and Yoshak Tadokoro** *Research Insttute

More information

Multi-Robot Map-Merging-Free Connectivity-Based Positioning and Tethering in Unknown Environments

Multi-Robot Map-Merging-Free Connectivity-Based Positioning and Tethering in Unknown Environments Mult-Robot Map-Mergng-Free Connectvty-Based Postonng and Tetherng n Unknown Envronments Somchaya Lemhetcharat and Manuela Veloso February 16, 2012 Abstract We consder a set of statc towers out of communcaton

More information

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid Abstract Latency Inserton Method (LIM) for IR Drop Analyss n Power Grd Dmtr Klokotov, and José Schutt-Ané Wth the steadly growng number of transstors on a chp, and constantly tghtenng voltage budgets,

More information

A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION

A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION Vncent A. Nguyen Peng-Jun Wan Ophr Freder Computer Scence Department Illnos Insttute of Technology Chcago, Illnos vnguyen@t.edu,

More information

Space Time Equalization-space time codes System Model for STCM

Space Time Equalization-space time codes System Model for STCM Space Tme Eualzaton-space tme codes System Model for STCM The system under consderaton conssts of ST encoder, fadng channel model wth AWGN, two transmt antennas, one receve antenna, Vterb eualzer wth deal

More information

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application Optmal Szng and Allocaton of Resdental Photovoltac Panels n a Dstrbuton Networ for Ancllary Servces Applcaton Reza Ahmad Kordhel, Student Member, IEEE, S. Al Pourmousav, Student Member, IEEE, Jayarshnan

More information

Optimizing a System of Threshold-based Sensors with Application to Biosurveillance

Optimizing a System of Threshold-based Sensors with Application to Biosurveillance Optmzng a System of Threshold-based Sensors wth Applcaton to Bosurvellance Ronald D. Frcker, Jr. Thrd Annual Quanttatve Methods n Defense and Natonal Securty Conference May 28, 2008 What s Bosurvellance?

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 Date: 18.08.2016 Common Source Amplfer MOSFET Amplfer Dstorton Example 1 One Realstc CS Amplfer Crcut: C c1 : Couplng Capactor serves as perfect short crcut at all sgnal frequences whle blockng

More information

4.3- Modeling the Diode Forward Characteristic

4.3- Modeling the Diode Forward Characteristic 2/8/2012 3_3 Modelng the ode Forward Characterstcs 1/3 4.3- Modelng the ode Forward Characterstc Readng Assgnment: pp. 179-188 How do we analyze crcuts wth juncton dodes? 2 ways: Exact Solutons ffcult!

More information

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart Control Chart - hstory Control Chart Developed n 920 s By Dr. Walter A. Shewhart 2 Process n control A phenomenon s sad to be controlled when, through the use of past experence, we can predct, at least

More information

Power System State Estimation Using Phasor Measurement Units

Power System State Estimation Using Phasor Measurement Units Unversty of Kentucky UKnowledge Theses and Dssertatons--Electrcal and Computer Engneerng Electrcal and Computer Engneerng 213 Power System State Estmaton Usng Phasor Measurement Unts Jaxong Chen Unversty

More information

Discussion on How to Express a Regional GPS Solution in the ITRF

Discussion on How to Express a Regional GPS Solution in the ITRF 162 Dscusson on How to Express a Regonal GPS Soluton n the ITRF Z. ALTAMIMI 1 Abstract The usefulness of the densfcaton of the Internatonal Terrestral Reference Frame (ITRF) s to facltate ts access as

More information

Rational Secret Sharing without Broadcast

Rational Secret Sharing without Broadcast Ratonal Secret Sharng wthout Broadcast Amjed Shareef, Department of Computer Scence and Engneerng, Indan Insttute of Technology Madras, Chenna, Inda. Emal: amjedshareef@gmal.com Abstract We use the concept

More information

Chaotic Filter Bank for Computer Cryptography

Chaotic Filter Bank for Computer Cryptography Chaotc Flter Bank for Computer Cryptography Bngo Wng-uen Lng Telephone: 44 () 784894 Fax: 44 () 784893 Emal: HTwng-kuen.lng@kcl.ac.ukTH Department of Electronc Engneerng, Dvson of Engneerng, ng s College

More information

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation T. Kerdchuen and W. Ongsakul / GMSARN Internatonal Journal (09) - Optmal Placement of and by Hybrd Genetc Algorthm and Smulated Annealng for Multarea Power System State Estmaton Thawatch Kerdchuen and

More information

Graph Method for Solving Switched Capacitors Circuits

Graph Method for Solving Switched Capacitors Circuits Recent Advances n rcuts, ystems, gnal and Telecommuncatons Graph Method for olvng wtched apactors rcuts BHUMIL BRTNÍ Department of lectroncs and Informatcs ollege of Polytechncs Jhlava Tolstého 6, 586

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian CCCT 05: INTERNATIONAL CONFERENCE ON COMPUTING, COMMUNICATIONS, AND CONTROL TECHNOLOGIES 1 Approxmatng User Dstrbutons n CDMA Networks Usng 2-D Gaussan Son NGUYEN and Robert AKL Department of Computer

More information

Distributed Fault Detection of Wireless Sensor Networks

Distributed Fault Detection of Wireless Sensor Networks Dstrbuted Fault Detecton of Wreless Sensor Networs Jnran Chen, Shubha Kher, and Arun Soman Dependable Computng and Networng Lab Iowa State Unversty Ames, Iowa 50010 {jrchen, shubha, arun}@astate.edu ABSTRACT

More information

Priority based Dynamic Multiple Robot Path Planning

Priority based Dynamic Multiple Robot Path Planning 2nd Internatonal Conference on Autonomous obots and Agents Prorty based Dynamc Multple obot Path Plannng Abstract Taxong Zheng Department of Automaton Chongqng Unversty of Post and Telecommuncaton, Chna

More information

Digital Transmission

Digital Transmission Dgtal Transmsson Most modern communcaton systems are dgtal, meanng that the transmtted normaton sgnal carres bts and symbols rather than an analog sgnal. The eect o C/N rato ncrease or decrease on dgtal

More information

Modelling Service Time Distribution in Cellular Networks Using Phase-Type Service Distributions

Modelling Service Time Distribution in Cellular Networks Using Phase-Type Service Distributions Modellng Servce Tme Dstrbuton n Cellular Networks Usng Phase-Type Servce Dstrbutons runa Jayasurya, Davd Green, John senstorfer Insttute for Telecommuncaton Research, Cooperatve Research Centre for Satellte

More information

1 GSW Multipath Channel Models

1 GSW Multipath Channel Models In the general case, the moble rado channel s pretty unpleasant: there are a lot of echoes dstortng the receved sgnal, and the mpulse response keeps changng. Fortunately, there are some smplfyng assumptons

More information

Test 2. ECON3161, Game Theory. Tuesday, November 6 th

Test 2. ECON3161, Game Theory. Tuesday, November 6 th Test 2 ECON36, Game Theory Tuesday, November 6 th Drectons: Answer each queston completely. If you cannot determne the answer, explanng how you would arrve at the answer may earn you some ponts.. (20 ponts)

More information

Traffic balancing over licensed and unlicensed bands in heterogeneous networks

Traffic balancing over licensed and unlicensed bands in heterogeneous networks Correspondence letter Traffc balancng over lcensed and unlcensed bands n heterogeneous networks LI Zhen, CUI Qme, CUI Zhyan, ZHENG We Natonal Engneerng Laboratory for Moble Network Securty, Bejng Unversty

More information

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level Estmatng Mean Tme to Falure n Dgtal Systems Usng Manufacturng Defectve Part Level Jennfer Dworak, Davd Dorsey, Amy Wang, and M. Ray Mercer Texas A&M Unversty IBM Techncal Contact: Matthew W. Mehalc, PowerPC

More information

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages Low Swtchng Frequency Actve Harmonc Elmnaton n Multlevel Converters wth Unequal DC Voltages Zhong Du,, Leon M. Tolbert, John N. Chasson, Hu L The Unversty of Tennessee Electrcal and Computer Engneerng

More information

Secure Transmission of Sensitive data using multiple channels

Secure Transmission of Sensitive data using multiple channels Secure Transmsson of Senstve data usng multple channels Ahmed A. Belal, Ph.D. Department of computer scence and automatc control Faculty of Engneerng Unversty of Alexandra Alexandra, Egypt. aabelal@hotmal.com

More information

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques The th Worshop on Combnatoral Mathematcs and Computaton Theory Effcent Large Integers Arthmetc by Adoptng Squarng and Complement Recodng Technques Cha-Long Wu*, Der-Chyuan Lou, and Te-Jen Chang *Department

More information

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014 Gudelnes for CCPR and RMO Blateral Key Comparsons CCPR Workng Group on Key Comparson CCPR-G5 October 10 th, 2014 These gudelnes are prepared by CCPR WG-KC and RMO P&R representatves, and approved by CCPR,

More information

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme Performance Analyss of Mult User MIMO System wth Block-Dagonalzaton Precodng Scheme Yoon Hyun m and Jn Young m, wanwoon Unversty, Department of Electroncs Convergence Engneerng, Wolgye-Dong, Nowon-Gu,

More information

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala.

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala. PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER 1 H. RAGHUNATHA RAO, T. ASHOK KUMAR & 3 N.SURESH BABU 1,&3 Department of Electroncs and Communcaton Engneerng, Chrala Engneerng College,

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

Hard Real-Time Scheduling for Low-Energy Using Stochastic Data and DVS Processors

Hard Real-Time Scheduling for Low-Energy Using Stochastic Data and DVS Processors Hard Real-me Schedulng for Low-Energy Usng Stochastc Data and DVS Processors Flavus Gruan Department of Computer Scence, Lund Unversty Box 118 S-221 00 Lund, Sweden el.: +46 046 2224673 e-mal: Flavus.Gruan@cs.lth.se

More information

Fast Code Detection Using High Speed Time Delay Neural Networks

Fast Code Detection Using High Speed Time Delay Neural Networks Fast Code Detecton Usng Hgh Speed Tme Delay Neural Networks Hazem M. El-Bakry 1 and Nkos Mastoraks 1 Faculty of Computer Scence & Informaton Systems, Mansoura Unversty, Egypt helbakry0@yahoo.com Department

More information

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm Network Reconfguraton n Dstrbuton Systems Usng a Modfed TS Algorthm ZHANG DONG,FU ZHENGCAI,ZHANG LIUCHUN,SONG ZHENGQIANG School of Electroncs, Informaton and Electrcal Engneerng Shangha Jaotong Unversty

More information

Channel Alternation and Rotation in Narrow Beam Trisector Cellular Systems

Channel Alternation and Rotation in Narrow Beam Trisector Cellular Systems Channel Alternaton and Rotaton n Narrow Beam Trsector Cellular Systems Vncent A. Nguyen, Peng-Jun Wan, Ophr Freder Illnos Insttute of Technology-Communcaton Laboratory Research Computer Scence Department-Chcago,

More information

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network Avalable onlne at www.scencedrect.com Proceda Engneerng 5 (2 44 445 A Prelmnary Study on Targets Assocaton Algorthm of Radar and AIS Usng BP Neural Networ Hu Xaoru a, Ln Changchuan a a Navgaton Insttute

More information

A Simple Satellite Exclusion Algorithm for Advanced RAIM

A Simple Satellite Exclusion Algorithm for Advanced RAIM A Smple Satellte Excluson Algorthm for Advanced RAIM Juan Blanch, Todd Walter, Per Enge Stanford Unversty ABSTRACT Advanced Recever Autonomous Integrty Montorng s a concept that extends RAIM to mult-constellaton

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

An Improved Method for GPS-based Network Position Location in Forests 1

An Improved Method for GPS-based Network Position Location in Forests 1 Ths full text paper was peer revewed at the drecton of IEEE Communcatons Socety subject matter experts for publcaton n the WCNC 008 proceedngs. An Improved Method for GPS-based Network Poston Locaton n

More information

An Alternation Diffusion LMS Estimation Strategy over Wireless Sensor Network

An Alternation Diffusion LMS Estimation Strategy over Wireless Sensor Network Progress In Electromagnetcs Research M, Vol. 70, 135 143, 2018 An Alternaton Dffuson LMS Estmaton Strategy over Wreless Sensor Network Ln L * and Donghu L Abstract Ths paper presents a dstrbuted estmaton

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE36L, Power Electroncs, DC-DC Boost Converter Verson Feb. 8, 9 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

1.0 INTRODUCTION 2.0 CELLULAR POSITIONING WITH DATABASE CORRELATION

1.0 INTRODUCTION 2.0 CELLULAR POSITIONING WITH DATABASE CORRELATION An Improved Cellular postonng technque based on Database Correlaton B D S Lakmal 1, S A D Das 2 Department of Electronc & Telecommuncaton Engneerng, Unversty of Moratuwa. { 1 shashka, 2 dleeka}@ent.mrt.ac.lk

More information

Introduction to Coalescent Models. Biostatistics 666

Introduction to Coalescent Models. Biostatistics 666 Introducton to Coalescent Models Bostatstcs 666 Prevously Allele frequences Hardy Wenberg Equlbrum Lnkage Equlbrum Expected state for dstant markers Lnkage Dsequlbrum Assocaton between neghborng alleles

More information

On High Spatial Reuse Broadcast Scheduling in STDMA Wireless Ad Hoc Networks

On High Spatial Reuse Broadcast Scheduling in STDMA Wireless Ad Hoc Networks On Hgh Spatal Reuse Broadcast Schedulng n STDMA Wreless Ad Hoc Networks Ashutosh Deepak Gore and Abhay Karandkar Informaton Networks Laboratory Department of Electrcal Engneerng Indan Insttute of Technology

More information

@IJMTER-2015, All rights Reserved 383

@IJMTER-2015, All rights Reserved 383 SIL of a Safety Fuzzy Logc Controller 1oo usng Fault Tree Analyss (FAT and realablty Block agram (RB r.-ing Mohammed Bsss 1, Fatma Ezzahra Nadr, Prof. Amam Benassa 3 1,,3 Faculty of Scence and Technology,

More information

Subarray adaptive beamforming for reducing the impact of flow noise on sonar performance

Subarray adaptive beamforming for reducing the impact of flow noise on sonar performance Subarray adaptve beamformng for reducng the mpact of flow nose on sonar performance C. Bao 1, J. Leader and J. Pan 1 Defence Scence & Technology Organzaton, Rockngham, WA 6958, Australa School of Mechancal

More information

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT UNIT TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT Structure. Introducton Obectves. Key Terms Used n Game Theory.3 The Maxmn-Mnmax Prncple.4 Summary.5 Solutons/Answers. INTRODUCTION In Game Theory, the word

More information

Joint Power Control and Scheduling for Two-Cell Energy Efficient Broadcasting with Network Coding

Joint Power Control and Scheduling for Two-Cell Energy Efficient Broadcasting with Network Coding Communcatons and Network, 2013, 5, 312-318 http://dx.do.org/10.4236/cn.2013.53b2058 Publshed Onlne September 2013 (http://www.scrp.org/journal/cn) Jont Power Control and Schedulng for Two-Cell Energy Effcent

More information

Ensemble Evolution of Checkers Players with Knowledge of Opening, Middle and Endgame

Ensemble Evolution of Checkers Players with Knowledge of Opening, Middle and Endgame Ensemble Evoluton of Checkers Players wth Knowledge of Openng, Mddle and Endgame Kyung-Joong Km and Sung-Bae Cho Department of Computer Scence, Yonse Unversty 134 Shnchon-dong, Sudaemoon-ku, Seoul 120-749

More information

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS Pedro Godnho and oana Das Faculdade de Economa and GEMF Unversdade de Combra Av. Das da Slva 65 3004-5

More information

Introduction to Coalescent Models. Biostatistics 666 Lecture 4

Introduction to Coalescent Models. Biostatistics 666 Lecture 4 Introducton to Coalescent Models Bostatstcs 666 Lecture 4 Last Lecture Lnkage Equlbrum Expected state for dstant markers Lnkage Dsequlbrum Assocaton between neghborng alleles Expected to decrease wth dstance

More information

Distributed Topology Control of Dynamic Networks

Distributed Topology Control of Dynamic Networks Dstrbuted Topology Control of Dynamc Networks Mchael M. Zavlanos, Alreza Tahbaz-Saleh, Al Jadbabae and George J. Pappas Abstract In ths paper, we present a dstrbuted control framework for controllng the

More information

A New Type of Weighted DV-Hop Algorithm Based on Correction Factor in WSNs

A New Type of Weighted DV-Hop Algorithm Based on Correction Factor in WSNs Journal of Communcatons Vol. 9, No. 9, September 2014 A New Type of Weghted DV-Hop Algorthm Based on Correcton Factor n WSNs Yng Wang, Zhy Fang, and Ln Chen Department of Computer scence and technology,

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

problems palette of David Rock and Mary K. Porter 6. A local musician comes to your school to give a performance

problems palette of David Rock and Mary K. Porter 6. A local musician comes to your school to give a performance palette of problems Davd Rock and Mary K. Porter 1. If n represents an nteger, whch of the followng expressons yelds the greatest value? n,, n, n, n n. A 60-watt lghtbulb s used for 95 hours before t burns

More information

Vectorless Analysis of Supply Noise Induced Delay Variation

Vectorless Analysis of Supply Noise Induced Delay Variation Vectorless Analyss of Supply Nose Induced Delay Varaton Sanjay Pant *, Davd Blaauw *, Vladmr Zolotov **, Savthr Sundareswaran **, Rajendran Panda ** {spant,blaauw}@umch.edu, {vladmr.zolotov,savthr.sundareswaran,rajendran.panda}@motorola.com

More information

arxiv: v1 [cs.lg] 8 Jul 2016

arxiv: v1 [cs.lg] 8 Jul 2016 Overcomng Challenges n Fxed Pont Tranng of Deep Convolutonal Networks arxv:1607.02241v1 [cs.lg] 8 Jul 2016 Darryl D. Ln Qualcomm Research, San Dego, CA 92121 USA Sachn S. Talath Qualcomm Research, San

More information

Distributed Uplink Scheduling in EV-DO Rev. A Networks

Distributed Uplink Scheduling in EV-DO Rev. A Networks Dstrbuted Uplnk Schedulng n EV-DO ev. A Networks Ashwn Srdharan (Sprnt Nextel) amesh Subbaraman, och Guérn (ESE, Unversty of Pennsylvana) Overvew of Problem Most modern wreless systems Delver hgh performance

More information

Opportunistic Beamforming for Finite Horizon Multicast

Opportunistic Beamforming for Finite Horizon Multicast Opportunstc Beamformng for Fnte Horzon Multcast Gek Hong Sm, Joerg Wdmer, and Balaj Rengarajan allyson.sm@mdea.org, joerg.wdmer@mdea.org, and balaj.rengarajan@gmal.com Insttute IMDEA Networks, Madrd, Span

More information

An Energy Efficient Hierarchical Clustering Algorithm for Wireless Sensor Networks

An Energy Efficient Hierarchical Clustering Algorithm for Wireless Sensor Networks An Energy Effcent Herarchcal Clusterng Algorthm for Wreless Sensor Networks Seema Bandyopadhyay and Edward J. Coyle School of Electrcal and Computer Engneerng Purdue Unversty West Lafayette, IN, USA {seema,

More information