TRUE SYNTHESIZABLE CRITICALPATH AND FALSE PATH FILTERING USING ATPG

Size: px
Start display at page:

Download "TRUE SYNTHESIZABLE CRITICALPATH AND FALSE PATH FILTERING USING ATPG"

Transcription

1 TRUE SYNTHESIZABLE CRITICALPATH AND FALSE PATH FILTERING USING ATPG Samarshekar #1, Ramesh S R *2 VLSI Design and Security TAG Department of Electronics and Communication Engineering Amrita School of Engineering, Coimbatore Amrita VishwaVidyapeetham, Amrita University, Coimbatore Tamil Nadu, India 1 samarshekar560@gmail.com 2 sr_ramesh@cb.amrita.edu Abstract Timing analysis is performed to meet the user specified timing constraints of the design. All chips must pass the timing checks and meet user specified the timing constraints of the design before fabrication. Static Timing Analysis (STA) is a method of validating the timing performance of a design by checking all possible paths for timing violations under worst-case conditions. The characteristic of Static Timing Analysis (STA) will only consider the delay of each logic gates and cells in the path and does not take the functional behaviour of the logic cells into account.all the timing paths will not activate in real work environment. These paths which are not activated are known as false paths. The traditional Static Timing Analysis (STA) results are overly pessimistic. STA results are estimation as many critical paths are not true paths and most of the critical paths are false paths or non-functional paths. In classic design flow, the critical path delay is calculated through Static Timing Analysis (STA). This approach may leads to erroneous results as STA cannot accurately calculate path delay of all the actual critical paths. This work proves the existence of false paths in ISCAS 85 and ISCAS 89 benchmark circuits by identifying false paths and eliminating them by false path constraints. Elimination of false paths provides area and power advantage. This work aims to generate synthesizable critical path and to reduce the number of false paths by using fast and efficient filtering method by utilizing ATPG stuck-at faults and path delay faults. Keyword- False paths, Critical paths, STA, DTA, ATPG I. INTRODUCTION Timing analysis is used to perform the timing the constraints of adesign. Timing verification is an important phase in timing analysis as incorrect constraints lead to chip failures [3]. Logic circuits generally consists of large number of paths through which signals cannot propagate in functional mode analysis, these paths known as unsensitizablepaths, or simply false paths [3],[ 4].Traditional timing verificationtechnique such as Static Timing Analysis (STA) is usually too conservative or sometimes too pessimistic [3], [9], 10]. STA main drawback isinability to detect false paths. A false path can also be termed as non-functional paths. Timing analysis tools can report true critical path and false critical paths. The critical paths reported by the timing tools mayor may not be synthesizable. In ASIC design timing analysis can be applied in both pre-layout and post-layout. In pre-layout delay calculation is an estimation of the interconnect delay values. In post-layout timing analysis the delays values are accurate and after floorplanning, placement and routing the standard cells are placed accurately in between the citros of VDD and VSS.STA reported false and true critical path delay values may or may not be true [2]. Moreover static timing analysis cannot provide the details of how many critical paths exists in the circuit.a path can also be called as false path if the transitions on the input cannot be propagated to the output along the path [1].If any one false critical path is right regarded as a critical path, the results are conservative and performance of the circuit would be affected. There may be up to 30% of paths in the circuit that are reported as false paths [3]. So it is predicted that static timing analysis reported critical paths may be false. The corresponding delay of the circuit is may not accurate and overly pessimistic.the main drawbacks of STAis to inability to detect accurate false paths automatically and also the number of false critical paths. The other one is the Static timing analysis is neglect the simultaneous input transitions. The main reason is that static timing analysis is built on single input transitions (SIT) hypothesis [4].The proposed method aims to first identify the false critical paths from true critical paths and optimises the circuit by removing the nonfunctional false critical paths, through dynamic simulation using ATPG filtering. The method also uses falsepath constraints to remove the false paths, without affecting the performance of the circuit. Functionality of each critical path is verified through TetraMAX ATPG. DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

2 II. BACKGROUND A.Static Timing Analysis Static Timing Analysis considers the logic cells delay along the path, these delay values are static such as cell delay, net delay or gate delay. In static timing analysis timing models are built on gate delay and net delays. While propagating along the path max and min values are taken into consideration. Static timing analysis can be applied on pre-layout and post-layout. In pre-layout timing analysis is performed using estimated delay values from standard delay format, and it s an estimation which is not accurate. In post layout timing analysis the extracted parasitic delay values, which are accurate and corresponding critical path delay values will be accurate. B. Dynamic timing analysis The main difference between STA and dynamic timing analysis lies on the fact that STA does not verifies functionality of the design whereas the later verifies the functionality of the design by applying stuck-at faults and pathdelay faults and checking for correct output faults whereas Static Timing Analysis just add the delay in the path without considering the functionality of the design. Dynamic timing analysis utilizes test faults to verify the circuit functionality and computes the accurate timing results for the given set of inputs without any timing violations. Before applying the dynamic timing analysis, the design should not have any violations and the functionality of the design must be cleared. For STA functionality of the design is not important assta only considers the delay of the circuit along the path. Dynamic timing analysis is much more accurate with large number of input vectors. Static timing analysis cannot be applied to asynchronous designs whereas dynamic timing analysis can be applied to asynchronous designs. C. Advantages of STA i. STA considers all the timing paths, whether it may be a functional or non-functional paths [11]. ii. STA analysis time is very fast and exhaustive compared to Statistical static timing analysis (SSTA) and Dynamic timing analysis (DTA) [11]. iii. STA is analysed for best, worst and typical cases, while dynamic simulation it is not possible. iv. Static timing analysis calculates the delay through delay models, STA results are pessimistic in nature and fast. DTA is slow and accurate, as it checks the functionality of the design. DTA is very complex compared to STA. D. Disadvantages of STA i. Since STA is pessimistic and considers the false critical path as false critical path is non-functional path. In general STA critical paths are false in nature. ii. If a false path is considered for circuit delay calculation, the output will be conservative and results are affected. iii. STA will add the delay of the gates and logic cells along the path, it does not take functionality of the design into account. iv. STA cannot be applicable to asynchronous circuits. Advantages of dynamic timing analysis i. Very accurate but slow and the quality depends on input vectors. ii. Dynamic timing analysis is non-exhaustive. E. STA in ASIC design pre-layout Fig.1. STA pre-layout ASIC design flow DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

3 In ASIC design flow static timing analysis is applied in both pre-layout and post-layout. In fig 1 ASIC design flow of pre-layout is shown. In the synthesis phase all the timing requirements clock, input load, output load and user defined timing constraints are specified. Timing analysis is applied to match the user specified design constraints. In design for testing phase design check rule (DRC) is performed to identify all the timing violations, and before floorplanning phase all the timing violations are removed. After floorplanningparasitics are extracted which contains the delay values. F.STA ASIC design flow-post-layout Fig.2. STA post-layout ASIC design flow In post-layout ASIC design flow, in the floorplanning phase timing constraints are specified according to user. All the timing violations are corrected after place and route standard cells are placed accurately on the given die area.from the location of these standard cells parasitics are extracted with accurate interconnect delays. G.False paths Pathcriticality: Critical path can also be called as a path which violates the timing constraints of the design. Criticality of a path is the probability of manufacturing a chip in which the path of interest is critical [5], [9], [10]. Critical path: Critical path is the maximum path in the circuit which violates the timing constraints. False path: STAconsiders the delay of the each gates and logic cell in the propagating path and does not consider behaviour or functionality of the design [8]. All paths will not get a chance to activate in the real circuit. These inactivated paths are referred as false paths. If any of the falsepath is considered as a working critical path, results would be conservative and the performance of the circuit is degraded. Basically false paths occur due to two reasons [3] 1. Functional configuration 2. Path redundancy H.Functional configuration As shown below two input paths of the multiplexers are controlled by the select lines. STA will select the maximum path in the circuit as in3 - B1- M1/B M2/B out (0.2 ns ns ns) = 0.8ns. The path with delay 0.8ns is non-functional path As a result of this some functional paths are not taken into account for timing analysis. In the circuit the path, from in3--b1-- M1/B-- M2/B out never has a chance the opportunity to get activated, but it is the critical path according to STA because of the longest path delay in the circuit [4]. Fig.3. False path led by functional configuration [4] DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

4 I.Path redundancy Due to multiplexers with multiple select lines some paths are activated very rarely. Some select lines are overlapped and never be activated in real circuit. Slower path will be overlapped by the faster paths. The Overlapped paths even which passes throughfunctional paths. If one path is bypassed under all input patterns, it is also a false path. P1: reg_a =>and_a =>and_b =>reg_c 0.2ns P2: reg_b =>and_a =>and_b =>reg_c 0.2ns P3: reg_a => mux =>and_b =>reg_c 0.2ns P4: reg_b => mux =>and_b =>reg_c 0.2ns P5: reg_b =>inv => mux =>and_b =>reg_c 0.4ns Consider all five in the circuit. According to STA p5 is the longest path, but it is actually bypassed and never gets the chance to activate. Fig.4. False path led by redundancy [4] TABLE1.Truth table [4] Reg_a Reg_b Path Reg_c 0 0 P P P P4 1 Fig.5. Input NAND gate cell DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

5 In the figure 5 when A = 0 output is Y from VDD to Y through A.When A = 0 B is always bypassed and B will never have the chance to activated. Hence path B to Y is a false path as it will never get chance to activate in the real work environment. III. OPTIMISATION METHODOLOGY Fig.6. Methodology flow As STA cannot resolve the false path problem, dynamic timing analysis is the solution to the false path problem. The dynamic timing simulation needs a lot of time and effort.as in this methodology optimised gate-level netlist is generated from Design compiler [13]. The gate level netlist is imported to Design of testing (DFT) compiler [16], where scan chain is inserted. Scan-chain inserted netlist is extracted for further requirements. DFT [16] netlist is inserted to ICC compiler [12] where cells are placed and routed correctly. From ICC compiler [12] physical design cell (DB) and post placement netlist are extracted.physical design cell is imported to StarRC [17] to extract RCparasitics. These extracted parasitics and post placement netlist are sent to PrimeTime for timing analysis and critical path extraction. These extracted critical paths are converted to TetraMAX [15] readable critical paths. In TetraMAX [15] stuckat fault are added to all the critical paths to check the functionality of each path. If any path is found to be nonfunctional, it is detected and set as a false path constraint in the PrimeTime. This process of detecting false path and elimination of false path is done until all false paths are eliminated from the circuit. As STA cannot resolve the false path problem, dynamic timing analysis is the solution to the false path problem [7]. The dynamic timing simulation needs a lot of time and effort. Dynamic timing analysis uses simulation vectors to verify that the circuit computes accurate results from a given input without any timing violations [2]. The problem is that the simulation vectors cannot guarantee 100% coverage. The goal for the dynamic analysis is to get a 100% test fault coverage, so that all the paths in the circuit detected.as in this methodology optimised gate-level netlist is generated from Design compiler [13]. The gate level netlist is imported to Design of testing (DFT) compiler [16], where scan chain is inserted. Scan-chain DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

6 inserted netlist is extracted for further requirements. DFT netlist is inserted to ICC compiler [12] where cells are placed and routed correctly. From ICC compiler [12] physical design cell (DB) and post placement netlist are extracted.physical design cell is imported to StarRC [17] to extract RCparasitics. These extracted parasitics and post placement netlist are sent to PrimeTime for timing analysis and critical path extraction. These extracted critical paths are converted to TetraMAX [15] readable critical paths. In TetraMAX [15] stuckat faults are added to all the critical paths to check the functionality of each path. If any path is identified as nonfunctional, it is detected and set as a false path constraint in the PrimeTime [18]. This process of detecting false path and elimination of false path is done until all false paths are eliminated from the circuit. Design Total Critical paths (FF s) IV. IMPLEMENTATION AND RESULTS TABLEI. Number of critical paths before and after filtering technique Total False critical paths identified (FF s) STA critical pathdelay (ns) True Synthesizable critical path delay (ns) S ns ns S ns 4.25 ns S ns 2.79 ns S ns 2.03 ns S ns 1.55 ns S ns 1.60 ns S ns 4.87 ns S ns 1.74 ns S ns 1.96 ns S ns 1.89 ns S ns 2.90 ns S ns 1.12 ns In the table I column shows critical and false critical paths of ISCAS 89 benchmark circuits and column 2 shows the total number of critical paths in the circuits. The corresponding critical false paths detected after functional path or synthesizable path analysis using TetraMAX [15] is also reported.sta reported critical paths with pathdelay and reported synthesizable critical path with pathdelay after filtering of false paths from the circuits are also reported. In S832 and S820 no false path is reported, hence STA critical path is the true critical path. TABLE II.Combinational circuits with total number of critical paths and false paths and true synthesizable critical path. Design Total critical paths (FF s) Total False critical paths identified (FF s) STA critical pathdelay (ns) True synthesizable critical path delay (ns) C C C C C C Table II shows the combinational ISCAS 85 benchmark circuits and the list of critical paths in the circuits. Number of false paths identified after functional path analysis usingtetramax [15] is also shown. STA PrimeTime reported critical paths with pathdelay and the reported synthesizable critical path with pathdelay after filtering of false paths from the circuits are also shown. DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

7 TABLE III.STA true critical path with STA false critical path for ISCAS 85 circuits. Circuit STA true Critical path STA critical path C C C C C C Static timing analysis tool PrimeTime[18] reported critical path and false critical paths for ISCAS 85 combinational circuits. Table shows the STA true critical path delay comparison with STA critical path. TABLE IV.STA true critical path with STA false critical path for ISCAS 89 circuits Circuit STA true Critical path (ns) STA critical path (ns) S S S S S S S S S S S From table IV it is evident that STA can report both critical path and true critical path delay but still its an estimate and accuracy is less. V. CONCLUSION Critical paths are important for any circuit analysis. All critical paths are not synthesizable. These nonsynthesizable critical paths are non-functional paths in the circuit. These non-functional paths are also called false paths. If any one false path is taken into consideration for circuit analysis, the result would be conservative and performance of the circuit will be affected. ISCAS 85 and ISCAS 89 circuits are considered for timing analysis. Static timing analysis reports the critical path and false path which are not accurate and the reported critical paths are may or may not be synthesizable. Also Static timing analysis cannot identify the number of false critical paths in the circuit. Dynamic simulation is the solution for false path identification. The proposed methodology identifies the number of false paths in the circuit through ATPG and false paths are eliminated through set false path constraints. REFERENCES [1] Jung, Jongyoon, and Taewhan Kim. "Variation-aware false path analysis based on statistical dynamic timing analysis." ComputeAidedDesign of Integrated Circuits and Systems, IEEE Transactions on (2012): [2] Wang, Sying-Jyan, Tsung-HueiTzeng, and Katherine Shi-Min Li. "Fast and accurate statistical static timing analysis." Circuits ansystems (ISCAS), 2014 IEEE International Symposium on. IEEE, [3] Xu, Jun, and Xiangku Li. "Improve accuracy of delay element by filtering false path for low power desychronized circuits." Circuitsand Systems (ISCAS), 2011 IEEE International Symposium on. IEEE, 2011 [4] Tsai, Shihheng, and Chung-Yang Huang. "A false-path aware formal static timing analyzer considering simultaneous inputransitionsdesign Automation Conference, DAC'09. 46th ACM/IEEE. IEEE, [5] Crouch, Alfred L., and John C. Potter. "Invited-A box of dots: using scan-based path delay test for timing verification." Proceedingsof the 53rd Annual Design Automation Conference. ACM, [6] Bell, Jeffrey L., K. Sakallah, and J. Whittemore. "False path analysis in sequential circuits." Proceedings of 8th International Workshopon Power and Timing Modeling, Optimization and Simulation [7] Zeng, Jing, MagdyAbadir, and Jacob Abraham. "False timing path identification using ATPG techniques and delay-based information"design Automation Conference, Proceedings. 39th. IEEE, [8] Parnerkar, Shreyas Vijay. Timing False Path Identification using ATPG Techniques. Diss. University of Wisconsin. DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

8 [9] Bhaghath, P. J., and S. R. Ramesh. "A Survey of SSTA Techniques with Focus on Accuracy and Speed." International JournalofComputer Applications 89.7 (2014). [10] Bhaghath, P. J., and S. R. Ramesh. "A comparison on timing analysis using probabilistic approaches." Communications and SignalProcessing (ICCSP), 2014 International Conference on. IEEE, [11] Bhasker, Jayaram, and RakeshChadha. Static timing analysis for nanometer designs: a practical approach. Springer Science & BusinessMedia, 2009 [12] Synopsys Inc., IC Compiler Implementation User Guide Version L , March 2016 [13] Synopsys Inc., Design Compiler User Guide Version L , March 2016 [14] Synopsys Inc., HDL Compiler for Verilog User Guide Version J , September2014 [15] Synopsys Inc., TetraMAX ATPG User Guide Version L SP1, April 2016 [16] Synopsys Inc., DFT Compiler, DFTMAX, and DFTMAX Ultra User Guide Version L , March 2016 [17] Synopsys Inc., StarRC User Guide and Command Reference Version K , December 2015 [18] Synopsys Inc., PrimeTime User Guide Version K , December 2015 AUTHOR PROFILE Samarshekar R received the B.E degree in Electronics and instrumentation from B.V.Bhoomaraddi College of engineering, Hubli, India in He is currently a student perceiving Master degree in Amrita School of Engineering, Coimbatore, Amrita VishwaVidyapeetham, and Amrita University Coimbatore, India. His research interests are Static timing analysis and critical path and false path analysis. Ramesh.S R currently serves as an Assistant Professor with the Department of Electronics and Communication Engineering, Amrita VishwaVidyapeetham, Amrita University, India. He received his Master of Engineering in VLSI Design from ArulmiguKalasalingam College of Engineering (Anna University, Chennai ) in 2007 and Bachelor of Engineering in Electronics and Communication from Cape Institute of Technology (Anna University, Chennai) in His areas of interest include VLSI Design, Static Timing analysis, VLSI CAD, FPGA Logic Architectures and Embedded Sensor Networks. He is an Associate Member in IETE. DOI: /ijet/2016/v8i6/ Vol 8 No 6 Dec 2016-Jan

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach Technology Volume 1, Issue 1, July-September, 2013, pp. 41-46, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

The Physical Design of Long Time Delay-chip

The Physical Design of Long Time Delay-chip 2011 International Conference on Computer Science and Information Technology (ICCSIT 2011) IPCSIT vol. 51 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V51.137 The Physical Design of Long

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Logic Rewiring for Delay and Power Minimization *

Logic Rewiring for Delay and Power Minimization * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 20, 1-XXX (2004) Short Paper Logic Rewiring for Delay and Power Minimization * Department of Electrical and Computer Engineering and Department of Computer

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 Assistant Professor, Department of ECE, Siddharth Institute of Engineering & Technology,

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation P.Pattunarajam 1, V.Srividhya 2, Dr.Reeba Korah 3 1 Research Scholar, Dept. of ECE, Anna University, Chennai 2 PG Student,

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay 1 Prajoona Valsalan

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency. SoC Design ICE of silicon Computational efficiency [MOPS/W] 10 6 [Roza] 10 5 Intrinsic computational efficiency 3DTV 10 4 10 3 10 2 10 1 i386sx 601 604 604e microsparc Ultra sparc i486dx P5 Super sparc

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Highly Versatile DSP Blocks for Improved FPGA Arithmetic Performance

Highly Versatile DSP Blocks for Improved FPGA Arithmetic Performance 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines Highly Versatile DSP Blocks for Improved FPGA Arithmetic Performance Hadi Parandeh-Afshar and Paolo Ienne Ecole

More information

FPGA Realization of Hybrid Carry Select-cum- Section-Carry Based Carry Lookahead Adders

FPGA Realization of Hybrid Carry Select-cum- Section-Carry Based Carry Lookahead Adders FPGA Realization of Hybrid Carry Select-cum- Section-Carry Based Carry Lookahead s V. Kokilavani Department of PG Studies in Engineering S. A. Engineering College (Affiliated to Anna University) Chennai

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

Fault Diagnosis in Combinational Logic Circuits: A Survey

Fault Diagnosis in Combinational Logic Circuits: A Survey IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Fault Diagnosis in Combinational Logic Circuits: A Survey Sarang S. Samangadkar 1 Shridhar

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

The backend duplication method

The backend duplication method The backend duplication method - A Leakage-Proof Place-and and-route Strategy for Secured ASICs - CHES Workshop August 30th September 1st 2005 Edinburgh, Scotland, UK. Sylvain GUILLEY (*), Philippe HOOGVORST

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Design of digital cmos circuits by Using Standard Cell Library for high performance

Design of digital cmos circuits by Using Standard Cell Library for high performance ISSN: 2278 1323 All Rights Reserved 2014 IJARCET 3564 International Journal of Advanced Research in Computer Engineering & (IJARCET) Design of digital cmos circuits by Using Standard Cell Library for high

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Physical Design of Monolithic 3D ICs with Applications to Hardware Security

Physical Design of Monolithic 3D ICs with Applications to Hardware Security Physical Design of Monolithic ICs with Applications to Hardware Security Chen Yan and Emre Salman Department of Electrical and Computer Engineering Stony Brook University (SUNY), Stony Brook, NY 11794

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information

DESIGN OF EFFICIENT MULTIPLIER USING ADAPTIVE HOLD LOGIC

DESIGN OF EFFICIENT MULTIPLIER USING ADAPTIVE HOLD LOGIC DESIGN OF EFFICIENT MULTIPLIER USING ADAPTIVE HOLD LOGIC M.Sathyamoorthy 1, B.Sivasankari 2, P.Poongodi 3 1 PG Students/VLSI Design, 2 Assistant Prof/ECE Department, SNS College of Technology, Coimbatore,

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Digital IC-Project and Verification

Digital IC-Project and Verification Digital IC-Project and Verification (STA) Liang Liu & Joachim Rodrigues Outline STA & PrimeTime Overview STA Using PrimeTime Basic Concepts PrimeTime Flow Suggestions What s STA STA is a method of validating

More information

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor RAAR Processor: The Digital Image Processor Raghumanohar Adusumilli 1, Mahesh.B.Neelagar 2 1 VLSI Design and Embedded Systems, Visvesvaraya Technological University, Belagavi Abstract Image processing

More information