ME 4447/6405 Pulse Width Modulation (PWM)

Size: px
Start display at page:

Download "ME 4447/6405 Pulse Width Modulation (PWM)"

Transcription

1 ME 4447/6405 Pulse Width Modulation (PWM) Adam Becker Matt Eicholtz Jie Gong Dustin Li 10/28/

2 Outline Applications Analog vs. Digital Actuation Linear amplifier drawbacks Efficiency Pulse Width Modulation How it works Choosing the correct PWM Frequency Implementing PWM on the MC9S12C32 10/28/

3 Applications Telecommunications RC devices Audio effects Power delivery Voltage regulation Amplification Example: Interfacing with actuators 10/28/

4 Interfacing with Actuators Microcontrollers control electrical power through actuators Requirement: a drive to take commands and control power Result: effects mechanical part of system Form of commands vary From signals proportional to desired electrical To industrial ethernet and wireless protocols 1. Microcontroller Decision making Communication (low power) 2. Drive Power amplifier Actuation (high power) 3. Actuator Power conversion 10/28/

5 Linear Power Amplifiers Purpose: Convert low power analog signals to high power Notes: Analog signals are sensitive to noise Linear amplifiers may be inefficient Digital comm. (low power) Analog Signal (low power) Possibly inefficient K Actua=on (high power) Microcontroller Digital to Analog Linear Amplifier Converter Sensi=ve to noise Actuator 10/28/

6 Digital Power Amplifiers Purpose: Convert low power digital signals to high power Notes: Transistors operating in saturation region can be very efficient Digital comm. (low power) On Off actua=on (high power) Microcontroller MOSFET (or similar) Actuator How does on off actua=on provide varying response? 10/28/

7 Pulse Width Modulation (PWM) Actuation is varied by controlling the percentage of on time for the signal Period Off Time On Time ~33% Duty Cycle Duty Cycle = On Time Period ~67% Duty Cycle 10/28/

8 Duty Cycle The average value of a PWM signal increases linearly with the duty cycle 10/28/

9 Choosing your PWM frequency Many actuators exhibit a low pass filter response Second order low pass filter 10/28/

10 Choosing your PWM frequency Input signal (PWM) Output signal (actuator response) 10/28/

11 Choosing your PWM frequency Low frequencies can cause ripple as seen previously... upper bound? Resolution: inversely proportional to the number of distinct duty cycles you can generate for a given frequency/period Transitions can only occur on a clock tick Frequency limited by your clock and desired resolution 10/28/

12 Choosing your PWM frequency Example: 8 MHz clock, choose PWM to be 4 MHz Limited resolution: only 3 duty cycles to choose from 10/28/

13 Choosing your PWM frequency Additional upper bound: high frequency current in actuator coils can induce eddy currents, resulting in motor heating and reduced efficiency. Loss is proportional to frequency squared. (Heathcote, Martin ( ). J & P Transformer Book, Twelfth edition. Newnes, pp ISBN ) Human hearing range ~ 20 Hz - 20 khz Summary: avoid ripple, resolution loss, power loss, and human hearing 10/28/

14 Implementing PWM using the MC9S12C32 Six independent channels 8- or 16-bit resolution Individual polarity and alignment settings 10/28/

15 PWME $00E0 PWMEx: Enable (1) or Disable (0) PWM channel x Example: Enable 2 8-bit channels, 1 16-bit channel 10/28/

16 PWME $00E0 PWMEx: Enable (1) or Disable (0) PWM channel x Example: Enable 2 8-bit channels, 1 16-bit channel LDAA #$0B STAA $00E0 *sets pin 0,1, and 3 (make sure CON23 = 1) 10/28/

17 PWMPOL $00E1 PPOLx: PWM output starts high then goes low when duty count is reached (1) or starts low and goes high when duty count is reached (0) 10/28/

18 PWMCNT0 $00EC Contains the count value for PWM channel 0. In left aligned mode, the counter counts from 0 to the value in the period register-1. In center aligned mode, the counter counts from zero to the value in the period register-1 and then back down to zero. Any write to the register causes the value to be reset to #$00 and the counting procedure is restarted. See also PWMCNT1-5 ($00ED-$00F1) 10/28/

19 PWMPER0 $00F2 Determines the PWM period for channel 0. Left aligned output (CAE0=0) PWM0 period = channel clock period * PWMPER0 Center aligned output (CAE0=1) PWM0 period = channel clock period * (2*PWMPER0) See also PWMPER1-5 ($00F3-$00F7) 10/28/

20 PWMDTY0 $00F8 Determines the PWM duty cycle for channel 0. Polarity=0 (PPOL0=0) Duty cycle = [(PWMPER0-PWMDTY0)/PWMPER0] * 100% Polarity=1 (PPOL0=1) Duty cycle = [PWMDTY0 / PWMPER0] * 100% See also PWMDTY1-5 ($00F9-$00FD) 10/28/

21 PWMCAE $00E4 CAEx: PWM channel x configured for Center- (1) or Left-Aligned (0) output 10/28/

22 Left vs. Center Aligned 10/28/

23 Resolution Concerns Although the PWM subsystem in the MC9S12C32 is said to be 8-bit, the resolution in practice will depend on the value in PWMPERx The number of distinct duty cycles equal to the value stored in PWMPERx Max when PWMPERx = #$FF (2 8 =256 distinct duty cycles, 8-bit resolution) 10/28/

24 Determining Clock Source There are four possible clock sources for the PWM channels, each of which is derived from the bus clock, clocks A, SA, B and SB Clocks A and B are derived by applying a prescaler to the bus clock (see PWMPRCLK) Clocks SA and SB are derived by applying a prescaler to clocks A and B (see PWMSCLA and PWMSCLB) Bus Clock PWMPRCLK Clock A (or B) PWMSCLA Clock SA (or SB) 10/28/

25 PWMCLK $00E2 PCLK5: Clock SA (1) or clock A (0) is the clock source for PWM5 PCLK4: Clock SA (1) or clock A (0) is the clock source for PWM4 PCLK3: Clock SB (1) or clock B (0) is the clock source for PWM3 PCLK2: Clock SB (1) or clock B (0) is the clock source for PWM2 PCLK1: Clock SA (1) or clock A (0) is the clock source for PWM1 PCLK0: Clock SA (1) or clock A (0) is the clock source for PWM0 10/28/

26 PWMPRCLK $00E3 PCKB[2:0]: Prescaler for Clock B PCKA[2:0]: Prescaler for Clock A 10/28/

27 PWMSCLA $00E8 The contents of PWMSCLA are used to determine the frequency of Clock SA as: Similarly, PWMSCLB determines the frequency of Clock SB 10/28/

28 PWMCTL $00E5 CONxy: channels x and y are separate 8-bit channels (0) or are concatenated to form one 16-bit channel (1). X forms the high byte and y forms the low byte. Note: 1. Change these bits only when both corresponding channels are disabled. 2. Resolution: three 16-bit channel (compared with six 8-bit channel ) 3. Channel y determines the configuration. PSWAI: The clock to the prescaler stops (1) or continues (0) in wait mode PFRZ: PWM counters stop (1) or continue (0) while in freeze mode (this is useful for emulation) 10/28/

29 Summary of Features Six independent PWM channels with programmable period and duty cycle Dedicated counter for each PWM channel Software selection of PWM duty pulse polarity for each channel Period and duty cycle are double buffered. Changes takes effect when the end of the effective period is reach or when the channel is disabled. Programmable center or left aligned outputs on individual channel Six 8-bit channels or three 16-bit channels PWM resolution Four clock sources (A, B, SA and SB) provide for a wide range of frequencies Programmable clock select logic Emergency shutdown 10/28/

30 Example: Configuring a PWM channel Frequency: 40 khz Period = 1/Frequency = 25µs Duty Cycle = 50% To choose clock source, consider resolution of PWM Number of distinct duty cycle values is equal to the PWM period in clock cycles Bus clock period is 125 ns 200*125ns = 25µs Since 200 < 255, we can use clock A with a prescaler=1 Left aligned output 10/28/

31 Example: Configuring a PWM channel PWMCLK = #$00 - PWM0 uses clock A PWMPRCLK = #$00 - Prescaler = 1 PWMPOL = #$01 - Positive polarity PWMCAE = #$00 - Left aligned PWMPER0 = #$C8 - Period = 200 PWMDTY0 = #$64 - Duty cycle = 100/200 = 50% PWME = #$01 - Enable PWM channel 0 10/28/

32 Assembly Code PWME EQU $00E0 PWMCAE EQU $00E4 PWMDTY0 PWMPER0 EQU EQU $00F8 $00F2 PWMPOL EQU $00E1 PWMCLK EQU $00E2 PWMPRCLK EQU $00E3 ORG $1000 LDAA #$00 STAA PWMCLK ;Use Clock A STAA PWMPRCLK ;Clock A prescaler = 1 STAA PWMCAE ;Left aligned output LDAA #$01 STAA PWMPOL ;Positive polarity (starts high) LDAA #$C8 STAA PWMPER0 ;Period = 200 (25µs) LDAA #$64 ;100 decimal STAA PWMDTY0 ;Duty cycle = 50% (100/200) LDAA #$01 STAA PWME ;Enable PWM Channel /28/

33 C Code // Setup chip in expanded mode MISC = 0x03; PEAR = 0x0C; MODE = 0xE2; TERMIO_Init(); // Init SCI Subsystem EnableInterrupts; PWMPER0 = 200; // set PWM period (125 ns * 200 = 25 us = 40 khz) PWMDTY0 = 100; // set initial duty cycle (100/200 = 50%) // setup PWM system PWMCLK_PCLK0 = 0; // set source to clock A PWMPRCLK_PCKA0 = 0; // set prescaler for clock A = 1, so clock A = bus clock PWMPRCLK_PCKA1 = 0; PWMPRCLK_PCKA2 = 0; PWMCAE_CAE0 = 0; // "left aligned" output PWMPOL_PPOL0 = 1; // set duty cycle to indicate % of high time PWMCNT0 = 0; // write to counter to make changes take effect PWME_PWME0 = 1; // enable PWM 0 10/28/

34 Example 2: Configuring PWM channels CHANNEL 0 (8-bit) Frequency: 10 khz Period = 1/Frequency = 100µs Duty Cycle = 30% To choose clock source, consider resolution of PWM Number of distinct duty cycle values is equal to the PWM period in clock cycles Bus clock period is 125 ns 800*125ns = 100µs Since 800 > 255, we can use clock A with a prescaler=4 Now, PWMPER0 = (100µs/ (4*125ns) = 200 Left aligned output CHANNEL 2-3 (16-bit) Frequency: 50 khz Period = 1/Frequency = 20µs Duty Cycle = 60% To choose clock source, consider resolution of PWM Number of distinct duty cycle values is equal to the PWM period in clock cycles Bus clock period is 125 ns 160*125ns = 20µs Since 160 < 255, we can use clock B with a prescaler=1 Left aligned output 10/28/

35 Example 2: Configuring PWM channels PWMCLK = #$00 PWM0 uses clock A, PWM2-3 uses clock B PWMPRCLK = #$02 - Prescaler (clock A) = 4 Prescaler (clock B) = 1 PWMPOL = #$09 - Positive polarity PWMCAE = #$00 - Left aligned PWMPER0 = #$C8 - Period = 200 PWMDTY0 = #$3C - Duty cycle = 60/200 = 30% PWMPER3 = #$A0 - Period = 160 PWMDTY3 = #$60 - Duty cycle = 96/160 = 60% PWME = #$09 - Enable PWM channel 0,3 10/28/

36 C Code // Setup chip in expanded mode MISC = 0x03; PEAR = 0x0C; MODE = 0xE2; TERMIO_Init(); // Init SCI Subsystem EnableInterrupts; PWMPER0 = 200; // set PWM period (125 ns * 4 * 200 = 100 us = 10 khz) PWMDTY0 = 60; // set initial duty cycle (60/200 = 30%) PWMPER3 = 160; // set PWM period (125 ns * 160 = 20 us = 50 khz) PWMDTY3 = 96; // set initial duty cycle (96/160 = 60%) // setup PWM system PWMCLK = 0; // set source to clock A PWMPRCLK = 2; // set prescaler for clock A = 4 // set prescaler for clock B = 1, so clock B = bus clock PWMCAE = 0; // "left aligned" output PWMPOL= 9; // set duty cycle to indicate % of high time PWMCNT0 = 0; // write to counter to make changes take effect PWMCNT3 = 0; // write to counter to make changes take effect PWME = 9; // enable PWM 0 and PWM 3 10/28/

37 References ME 4447/6405 PWM Lecture MC9S12C Family, MC9S12GC Family Reference Manual (pp ) 10/28/

38 Questions? 10/28/

Pulse Width Modulation

Pulse Width Modulation Pulse Width Modulation Often want to control something by adjusting the percentage of time the object is turned on For example, A DC motor the higher the percentage, the faster the motor goes A light the

More information

The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide

The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide o What is Pulse Width Modulation o The 9S12 Pulse Width Modulation system o Registers used by the PWM system

More information

PWM_8B8C. Block User Guide V01.16

PWM_8B8C. Block User Guide V01.16 DOCUMENT NUMBER S12PWM8B8CV1/D PWM_8B8C Block User Guide V01.16 Original Release Date: 12 MAR 1998 Revised: 14 MAR 2002 Motorola Inc. Motorola reserves the right to make changes without further notice

More information

Oct 30 Announcements. Bonus marked will be posted today Will provide 270 style feedback on multiple-choice questions. [3.E]-1

Oct 30 Announcements. Bonus marked will be posted today Will provide 270 style feedback on multiple-choice questions. [3.E]-1 Oct 30 Announcements Code Marked and on Blackboard This week: Mon 2:30 to 3:00pm, Tues 2:30 to 3:30 and W-F 1:30 to 3:00pm opportunity to talk about code: earn 2 extra points on the coding part Bonus marked

More information

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

EE 308 Spring Using the HCS12 PWM

EE 308 Spring Using the HCS12 PWM Using the HCS12 PWM 1. Choose 8-bit mode (PWMCTL = x) 2. Choose high polarity (PWMPOL = xff) 3. Choose left-aligned (PWMCAE = x) 4. Select clock mode in PWMCLK: PCLKn = for 2 N, PCLKn = 1 for 2 (N+1) M,

More information

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff PWM System 1 Pulse Width Modulation (PWM) Pulses are continuously generated which have different widths but the same period between leading edges Duty cycle (% high) controls the average analog voltage

More information

CS/ECE 6780/5780. Al Davis. Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780

CS/ECE 6780/5780. Al Davis. Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780 CS/ECE 6780/5780 Al Davis Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780 Output Compare Basic output control create square waves» including

More information

ECE 4510/5530 Microcontroller Applications Midterm Review

ECE 4510/5530 Microcontroller Applications Midterm Review Microcontroller Applications Midterm Review Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Exam Composition HC12

More information

ECE 4510/5530 Microcontroller Applications Chapter 8 ECT and PWM

ECE 4510/5530 Microcontroller Applications Chapter 8 ECT and PWM Microcontroller Applications Chapter 8 ECT and PWM Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Chapter 8 Enhanced

More information

Lecture #19 Digital To Analog, PWM, Stepper Motors Embedded System Engineering Philip Koopman Monday, 28-March-2016

Lecture #19 Digital To Analog, PWM, Stepper Motors Embedded System Engineering Philip Koopman Monday, 28-March-2016 Lecture #19 Digital To Analog, PWM, Stepper Motors 18-348 Embedded System Engineering Philip Koopman Monday, 28-March-2016 Electrical& Computer ENGINEERING Copyright 2006-2016, Philip Koopman, All Rights

More information

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li EEL 4744C: Microprocessor Applications Lecture 8 Timer Reading Assignment Software and Hardware Engineering (new version): Chapter 14 SHE (old version): Chapter 10 HC12 Data Sheet: Chapters 12, 13, 11,

More information

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications Reading Assignment EEL 4744C: Microprocessor Applications Lecture 8 Timer Software and Hardware Engineering (new version): Chapter 4 SHE (old version): Chapter 0 HC Data Sheet: Chapters,,, 0 Introduction

More information

Timing System. Timing & PWM System. Timing System components. Usage of Timing System

Timing System. Timing & PWM System. Timing System components. Usage of Timing System Timing & PWM System Timing System Valvano s chapter 6 TIM Block User Guide, Chapter 15 PWM Block User Guide, Chapter 12 1 2 Timing System components Usage of Timing System 3 Counting mechanisms Input time

More information

Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation

Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation Quick Parameter List: 0x00: Device Number 0x01: Required Channels 0x02: Ignored Channels 0x03: Reversed Channels 0x04: Parabolic

More information

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12 FINAL PROJECT: INTERFACING AND MOTOR CONTROL In this sequence of labs you will learn how to interface with additional hardware and implement a motor speed control system. WEEK 1 PORT EXPANSION FOR THE

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information

Table of Contents. The Parallel Interface Module... 3

Table of Contents. The Parallel Interface Module... 3 Table of Contents The Parallel Interface Module... 3 Serial Peripheral Interface (SPI)... 4 SPI Registers... 5 SPI Pins Used... 5 SPI Control Register 1 (SPIxCR1)... 6 SPI Control Register 2 (SPIxCR2)...

More information

EE 308 Apr. 24, 2002 Review for Final Exam

EE 308 Apr. 24, 2002 Review for Final Exam Review for Final Exam Numbers Decimal to Hex (signed and unsigned) Hex to Decimal (signed and unsigned) Binary to Hex Hex to Binary Addition and subtraction of fixed-length hex numbers Overflow, Carry,

More information

Grundlagen Microcontroller Counter/Timer. Günther Gridling Bettina Weiss

Grundlagen Microcontroller Counter/Timer. Günther Gridling Bettina Weiss Grundlagen Microcontroller Counter/Timer Günther Gridling Bettina Weiss 1 Counter/Timer Lecture Overview Counter Timer Prescaler Input Capture Output Compare PWM 2 important feature of microcontroller

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

CoolEx User Manual 2008 XDIMAX LTD. Revision 1.0

CoolEx User Manual 2008 XDIMAX LTD. Revision 1.0 CoolEx User Manual Revision 1.0 2 CoolEx User Manual Table of Contents Foreword 0 Part I Overview 3 Part II Configuration and Setup 4 1 Terminals Layout... 4 2 Modbus Address... Switch 4 Part III Functional

More information

Roland Kammerer. 13. October 2010

Roland Kammerer. 13. October 2010 Peripherals Roland Institute of Computer Engineering Vienna University of Technology 13. October 2010 Overview 1. Analog/Digital Converter (ADC) 2. Pulse Width Modulation (PWM) 3. Serial Peripheral Interface

More information

Microprocessor & Interfacing Lecture Programmable Interval Timer

Microprocessor & Interfacing Lecture Programmable Interval Timer Microprocessor & Interfacing Lecture 30 8254 Programmable Interval Timer P A R U L B A N S A L A S S T P R O F E S S O R E C S D E P A R T M E N T D R O N A C H A R Y A C O L L E G E O F E N G I N E E

More information

ECE251: Tuesday October 3 0

ECE251: Tuesday October 3 0 ECE251: Tuesday October 3 0 Timer Module Continued Review Pulse Input Characterization Output Pulses Pulse Count Capture Homework #6 due Thursday Lab 7 (Maskable Interrupts/ SysTick Timer) this week. Significant

More information

EIE/ENE 334 Microprocessors

EIE/ENE 334 Microprocessors EIE/ENE 334 Microprocessors Lecture 13: NuMicro NUC140 (cont.) Week #13 : Dejwoot KHAWPARISUTH Adapted from http://webstaff.kmutt.ac.th/~dejwoot.kha/ NuMicro NUC140: Technical Ref. Page 2 Week #13 NuMicro

More information

Review for Final Exam

Review for Final Exam Review for Final Exam Numbers Decimal to Hex (signed and unsigned) Hex to Decimal (signed and unsigned) Binary to Hex Hex to Binary Addition and subtraction of fixed-length hex numbers Overflow, Carry,

More information

ML4818 Phase Modulation/Soft Switching Controller

ML4818 Phase Modulation/Soft Switching Controller Phase Modulation/Soft Switching Controller www.fairchildsemi.com Features Full bridge phase modulation zero voltage switching circuit with programmable ZV transition times Constant frequency operation

More information

EE445L Fall 2014 Quiz 2A Page 1 of 5

EE445L Fall 2014 Quiz 2A Page 1 of 5 EE445L Fall 2014 Quiz 2A Page 1 of 5 Jonathan W. Valvano First: Last: November 21, 2014, 10:00-10:50am. Open book, open notes, calculator (no laptops, phones, devices with screens larger than a TI-89 calculator,

More information

Review for Final Exam

Review for Final Exam Review for Final Exam Numbers Decimal to Hex (signed and unsigned) Hex to Decimal (signed and unsigned) Binary to Hex Hex to Binary Addition and subtraction of fixed-length hex numbers Overflow, Carry,

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610 Bus Compatible Digital PWM Controller, IXDP 610 Description The IXDP610 Digital Pulse Width Modulator (DPWM) is a programmable CMOS LSI device which accepts digital pulse width data from a microprocessor

More information

Open Access Development of Automotive Collision Avoidance System Based on Intelligent

Open Access Development of Automotive Collision Avoidance System Based on Intelligent Send Orders for Reprints to reprints@benthamscience.ae 778 The Open Automation and Control Systems Journal, 2015, 7, 778-783 Open Access Development of Automotive Collision Avoidance System Based on Intelligent

More information

Design Consideration with AP3041

Design Consideration with AP3041 Design Consideration with AP3041 Application Note 1059 Prepared by Yong Wang System Engineering Dept. 1. Introduction The AP3041 is a current-mode, high-voltage low-side channel MOSFET controller, which

More information

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Hardware Flags and the RTI system 1 Need for hardware flag Often a microcontroller needs to test whether some event has occurred, and then take an action For example A sensor outputs a pulse when a model

More information

PHOENIX CONTACT - 03/2007

PHOENIX CONTACT - 03/2007 Inline Function Terminal for Pulse Width Modulation and Frequency Modulation N AUTOMATIONWORX Data Sheet 6920_en_01 1 Description PHOENIX CONTACT - 03/2007 $ ' ) The terminal is designed for use within

More information

TKT-3500 Microcontroller systems

TKT-3500 Microcontroller systems TKT-3500 Microcontroller systems Lec 4 Timers and other peripherals, pulse-width modulation Ville Kaseva Department of Computer Systems Tampere University of Technology Fall 2010 Sources Original slides

More information

A Sequencing LSI for Stepper Motors PCD4511/4521/4541

A Sequencing LSI for Stepper Motors PCD4511/4521/4541 A Sequencing LSI for Stepper Motors PCD4511/4521/4541 The PCD4511/4521/4541 are excitation control LSIs designed for 2-phase stepper motors. With just one of these LSIs and a stepper motor driver IC (e.g.

More information

Counter/Timers in the Mega8

Counter/Timers in the Mega8 Counter/Timers in the Mega8 The mega8 incorporates three counter/timer devices. These can: Be used to count the number of events that have occurred (either external or internal) Act as a clock Trigger

More information

SCLK 4 CS 1. Maxim Integrated Products 1

SCLK 4 CS 1. Maxim Integrated Products 1 19-172; Rev ; 4/ Dual, 8-Bit, Voltage-Output General Description The contains two 8-bit, buffered, voltage-output digital-to-analog converters (DAC A and DAC B) in a small 8-pin SOT23 package. Both DAC

More information

EE445L Fall 2014 Quiz 2A Page 1 of 5

EE445L Fall 2014 Quiz 2A Page 1 of 5 EE445L Fall 2014 Quiz 2A Page 1 of 5 Jonathan W. Valvano First: Last: November 21, 2014, 10:00-10:50am. Open book, open notes, calculator (no laptops, phones, devices with screens larger than a TI-89 calculator,

More information

EEE3410 Microcontroller Applications Department of Electrical Engineering Lecture 11 Motor Control

EEE3410 Microcontroller Applications Department of Electrical Engineering Lecture 11 Motor Control EEE34 Microcontroller Applications Department of Electrical Engineering Lecture Motor Control Week 3 EEE34 Microcontroller Applications In this Lecture. Interface 85 with the following output Devices Optoisolator

More information

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER css Custom Silicon Solutions, Inc. S68HC68W1 May 2003 CMOS Serial Digital Pulse Width Modulator Features Direct Replacement for Intersil CDP68HC68W1 Pinout PDIP / SOIC (Note #1) TOP VIEW Programmable Frequency

More information

CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones

CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones 1 Announcements HW8: Due Sunday 10/29 (midnight) Exam 2: In class Thursday 11/9 This object detection lab

More information

MICRO LAMBDA WIRELESS, INC.

MICRO LAMBDA WIRELESS, INC. MICRO LAMBDA WIRELESS, INC. YIG TUNED FILTERS WITH COMMERCIAL SERIAL DRIVERS SD SERIES FEATURES 500 MHz to 50 GHz Compensation for Temperature Drift Low-Profile Package Input Regulators for Improved Stability

More information

EVDP610 IXDP610 Digital PWM Controller IC Evaluation Board

EVDP610 IXDP610 Digital PWM Controller IC Evaluation Board IXDP610 Digital PWM Controller IC Evaluation Board General Description The IXDP610 Digital Pulse Width Modulator (DPWM) is a programmable CMOS LSI device, which accepts digital pulse width data from a

More information

OUTPUT 5/24 VDC PWM/PT 2 CHANNEL. 1 Description. GFK-2761 May 2012

OUTPUT 5/24 VDC PWM/PT 2 CHANNEL. 1 Description. GFK-2761 May 2012 May 2012 OUTPUT 5/24 VDC PWM/PT 2 CHANNEL 1 Description The terminal is designed for use within an VersaPoint station. It can be used in four different operating modes: PWM (pulse width modulation) Frequency

More information

Castle Creations, INC.

Castle Creations, INC. Castle Link Live Communication Protocol Castle Creations, INC. 6-Feb-2012 Version 2.0 Subject to change at any time without notice or warning. Castle Link Live Communication Protocol - Page 1 1) Standard

More information

SA60. H-Bridge Motor Driver/Amplifiers SA60

SA60. H-Bridge Motor Driver/Amplifiers SA60 H-Bridge Motor Driver/Amplifiers FEATURES LOW COSOMPLETE H-BRIDGE SELF-CONTAINED SMART LOWSIDE/ HIGHSIDE DRIVE CIRCUITRY WIDE SUPPLY RANGE: UP TO 8V A CONTINUOUS OUTPUT ISOLATED CASE ALLOWS DIRECT HEATSINKING

More information

PIC Functionality. General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232

PIC Functionality. General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232 PIC Functionality General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232 General I/O Logic Output light LEDs Trigger solenoids Transfer data Logic Input Monitor

More information

4 x 10 bit Free Run A/D 4 x Hi Comparator 4 x Low Comparator IRQ on Compare MX839. C-BUS Interface & Control Logic

4 x 10 bit Free Run A/D 4 x Hi Comparator 4 x Low Comparator IRQ on Compare MX839. C-BUS Interface & Control Logic DATA BULLETIN MX839 Digitally Controlled Analog I/O Processor PRELIMINARY INFORMATION Features x 4 input intelligent 10 bit A/D monitoring subsystem 4 High and 4 Low Comparators External IRQ Generator

More information

Application Note. Servo Overload Protection AN-CM-247

Application Note. Servo Overload Protection AN-CM-247 Application Note AN-CM-247 Abstract Servos are one of the most used actuators in robotics. Some servos, especially unprogrammable servos, do not have overload protection. Consequently, a user will only

More information

Timer/Counter with PWM

Timer/Counter with PWM Timer/Counter with PWM The AVR Microcontroller and Embedded Systems using Assembly and C) by Muhammad Ali Mazidi, Sarmad Naimi, and Sepehr Naimi ATMEL 8-bit AVR Microcontroller with 4/8/16/32K Bytes In-System

More information

ECE251 Intro to Microprocessors Final Exam July 6 th, 2017

ECE251 Intro to Microprocessors Final Exam July 6 th, 2017 ECE251 Intro to Microprocessors Final Exam July 6 th, 2017 Name: Instructions: Open note, open book. Use a calculator. No internet or discussion with your neighbor. Work all problems and show ALL intermediate

More information

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which behaves like ADC with external analog part and configurable

More information

LM4: The timer unit of the MC9S12DP256B/C

LM4: The timer unit of the MC9S12DP256B/C Objectives - To explore the Enhanced Capture Timer unit (ECT) of the MC9S12DP256B/C - To program a real-time clock signal with a fixed period and display it using the onboard LEDs (flashing light) - To

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

TDA 4700 TDA Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS)

TDA 4700 TDA Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS) Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS) TDA 4700 Features Feed-forward control (line hum suppression) Symmetry inputs for push-pull converter (TDA 4700) Push-pull

More information

AP08022 C504 / C508. Generating sinusoidal 3-Phase- Currents for Induction Maschines with a time-optimezed algorithm for the Capture Compare Unit

AP08022 C504 / C508. Generating sinusoidal 3-Phase- Currents for Induction Maschines with a time-optimezed algorithm for the Capture Compare Unit C504 / C508 Application te, V 1.1, Feb. 2004 Generating sinusoidal 3-Phase- Currents for Induction Maschines with a time-optimezed algorithm for the Capture Compare Unit. AP08022 Microcontrollers Never

More information

SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS

SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS 8 TO 35 V OPERATION 5.1 V REFERENCE TRIMMED TO ± 1 % 100 Hz TO 500 KHz OSCILLATOR RANGE SEPARATE OSCILLATOR SYNC TERMINAL ADJUSTABLE DEADTIME CONTROL INTERNAL

More information

Wednesday 7 June 2017 Afternoon Time allowed: 1 hour 30 minutes

Wednesday 7 June 2017 Afternoon Time allowed: 1 hour 30 minutes Please write clearly in block capitals. Centre number Candidate number Surname Forename(s) Candidate signature A-level ELECTRONICS Unit 4 Programmable Control Systems Wednesday 7 June 2017 Afternoon Time

More information

Using the Z8 Encore! XP Timer

Using the Z8 Encore! XP Timer Application Note Using the Z8 Encore! XP Timer AN013104-1207 Abstract Zilog s Z8 Encore! XP microcontroller consists of four 16-bit reloadable timers that can be used for timing, event counting or for

More information

HC08 SCI Operation with Various Input Clocks INTRODUCTION

HC08 SCI Operation with Various Input Clocks INTRODUCTION Order this document by /D HC08 SCI Operation with Various Input Clocks By Rick Cramer CSIC MCU Product Engineering Austin, Texas INTRODUCTION This application note describes the operation of the serial

More information

LSI/CSI LS7215 LS7216 PROGRAMMABLE DIGITAL DELAY TIMER

LSI/CSI LS7215 LS7216 PROGRAMMABLE DIGITAL DELAY TIMER LSI/CSI UL 00 LSI Computer Systems, Inc. Walt Whitman Road, Melville, NY (6) -000 FX (6) -00 PROGRMMLE DIGITL DELY TIMER FETURES: Programmable delay from microseconds to days Programmable delay controlled

More information

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER css Custom Silicon Solutions, Inc. S68HC68W1 April 2003 CMOS Serial Digital Pulse Width Modulator Features Direct Replacement for Intersil CDP68HC68W1 Pinout (PDIP) TOP VIEW Programmable Frequency and

More information

CSCI1600 Lab 4: Sound

CSCI1600 Lab 4: Sound CSCI1600 Lab 4: Sound November 1, 2017 1 Objectives By the end of this lab, you will: Connect a speaker and play a tone Use the speaker to play a simple melody Materials: We will be providing the parts

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

PAK-VIIIa Pulse Coprocessor Data Sheet by AWC

PAK-VIIIa Pulse Coprocessor Data Sheet by AWC PAK-VIIIa Pulse Coprocessor Data Sheet 2000-2003 by AWC AWC 310 Ivy Glen League City, TX 77573 (281) 334-4341 http://www.al-williams.com/awce.htm V1.6 30 Aug 2003 Table of Contents Overview...1 If You

More information

HOMANN DESIGNS. DigiSpeed. Instruction manual. Version 1.0. Copyright 2004 Homann Designs.

HOMANN DESIGNS. DigiSpeed. Instruction manual. Version 1.0. Copyright 2004 Homann Designs. HOMANN DESIGNS DigiSpeed Instruction manual Version 1.0 Copyright 2004 Homann Designs http://www.homanndesigns.com Table of Contents Introduction...3 Features...3 DigiSpeed Operation Description...5 Overview...5

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

Measuring Distance Using Sound

Measuring Distance Using Sound Measuring Distance Using Sound Distance can be measured in various ways: directly, using a ruler or measuring tape, or indirectly, using radio or sound waves. The indirect method measures another variable

More information

AC : PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION

AC : PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION AC 2010-1527: PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION Jeffrey Richardson, Purdue University James Jacob,

More information

Lab 9. Speed Control of a D.C. motor. Sensing Motor Speed (Tachometer Frequency Method)

Lab 9. Speed Control of a D.C. motor. Sensing Motor Speed (Tachometer Frequency Method) Lab 9. Speed Control of a D.C. motor Sensing Motor Speed (Tachometer Frequency Method) Motor Speed Control Project 1. Generate PWM waveform 2. Amplify the waveform to drive the motor 3. Measure motor speed

More information

Analog Servo Drive 25A20DD

Analog Servo Drive 25A20DD Description Power Range NOTE: This product has been replaced by the AxCent family of servo drives. Please visit our website at www.a-m-c.com or contact us for replacement model information and retrofit

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

New type ADC using PWM intermediary conversion

New type ADC using PWM intermediary conversion ew type ADC using PW intermediary conversion Cristian Zet 1, Cătălin Damian 1, Cristian Foşalău 1 1 Technical University G. Asachi, Bd. D. angeron, 53, 700050, Iasi, ROAIA, phone:+40 232 278683, fa: +40

More information

User s Manual. ACPL-339J Isolated Gate Driver Evaluation Board. Quick-Start. Testing Either Arm of The Half Bridge Inverter Driver (without IGBT)

User s Manual. ACPL-339J Isolated Gate Driver Evaluation Board. Quick-Start. Testing Either Arm of The Half Bridge Inverter Driver (without IGBT) ACPL-339J Isolated Gate Driver Evaluation Board User s Manual Quick-Start Visual inspection is needed to ensure that the evaluation board is received in good condition. The default connections of the evaluation

More information

MICRO LAMBDA WIRELESS, INC.

MICRO LAMBDA WIRELESS, INC. MICRO LAMBDA WIRELESS, INC. MINIATURE YIG FILTERS WITH COMMERCIAL SERIAL DRIVERS SD SERIES FEATURES 500 MHz to 18 GHz Compensation for Temperature Drift Low-Profile Package Input Regulators for Improved

More information

Chapter 6 PROGRAMMING THE TIMERS

Chapter 6 PROGRAMMING THE TIMERS Chapter 6 PROGRAMMING THE TIMERS Force Outputs on Outcompare Input Captures Programmabl e Prescaling Prescaling Internal clock inputs Timer-counter Device Free Running Outcompares Lesson 2 Free Running

More information

EE445L Fall 2011 Quiz 2A Page 1 of 6

EE445L Fall 2011 Quiz 2A Page 1 of 6 EE445L Fall 2011 Quiz 2A Page 1 of 6 Jonathan W. Valvano First: Last: November 18, 2011, 2:00pm-2:50pm. Open book, open notes, calculator (no laptops, phones, devices with screens larger than a TI-89 calculator,

More information

Timer A (0 and 1) and PWM EE3376

Timer A (0 and 1) and PWM EE3376 Timer A (0 and 1) and PWM EE3376 General Peripheral Programming Model l l l l Each peripheral has a range of addresses in the memory map peripheral has base address (i.e. 0x00A0) each register used in

More information

ANLAN203. KSZ84xx GPIO Pin Output Functionality. Introduction. Overview of GPIO and TOU

ANLAN203. KSZ84xx GPIO Pin Output Functionality. Introduction. Overview of GPIO and TOU ANLAN203 KSZ84xx GPIO Pin Output Functionality Introduction Devices in Micrel s ETHERSYNCH family have several GPIO pins that are linked to the internal IEEE 1588 precision time protocol (PTP) clock. These

More information

Electronic Concepts and Troubleshooting 101. Experiment 1

Electronic Concepts and Troubleshooting 101. Experiment 1 Electronic Concepts and Troubleshooting 101 Experiment 1 o Concept: What is the capacity of a typical alkaline 1.5V D-Cell? o TS: Assume that a battery is connected to a 20Ω load and the voltage across

More information

University of Pittsburgh

University of Pittsburgh University of Pittsburgh Experiment #7 Lab Report Analog-Digital Applications Submission Date: 08/01/2018 Instructors: Dr. Ahmed Dallal Shangqian Gao Submitted By: Nick Haver & Alex Williams Station #2

More information

Timer 0 Modes of Operation. Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode

Timer 0 Modes of Operation. Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode Timer 0 Modes of Operation Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode PWM - Introduction Recall: PWM = Pulse Width Modulation We will mostly use it for controlling

More information

2.9 GHz PLL for SAT TV Tuner with UNi-Bus. 14 bit Shift Reg. 15 bit Latch LOCK. SET 15/14 bit counter. Phase detector

2.9 GHz PLL for SAT TV Tuner with UNi-Bus. 14 bit Shift Reg. 15 bit Latch LOCK. SET 15/14 bit counter. Phase detector PLL for SAT TV Tuner with UNi-Bus Description The U6239B is a single-chip frequency synthesizer with bidirectional I 2 C bus control and unidirectional 3-wire bus control, developed for SAT TV-tuner and

More information

High Resolution Pulse Generation

High Resolution Pulse Generation High Resolution Pulse Generation An Application Note for the NS9360 Processor www.digi.com 90001138 2009 Digi International Inc. All Rights Reserved. Digi, Digi International, and the Digi logo are trademarks

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

PIC ADC to PWM and Mosfet Low-Side Driver

PIC ADC to PWM and Mosfet Low-Side Driver Name Lab Section PIC ADC to PWM and Mosfet Low-Side Driver Lab 6 Introduction: In this lab you will convert an analog voltage into a pulse width modulation (PWM) duty cycle. The source of the analog voltage

More information

Optimal Clock Synchronization in Networks. Christoph Lenzen Philipp Sommer Roger Wattenhofer

Optimal Clock Synchronization in Networks. Christoph Lenzen Philipp Sommer Roger Wattenhofer Optimal Clock Synchronization in Networks Christoph Lenzen Philipp Sommer Roger Wattenhofer Time in Sensor Networks Synchronized clocks are essential for many applications: Sensing TDMA Localization Duty-

More information

Using the HCS08 TPM Module In Motor Control Applications

Using the HCS08 TPM Module In Motor Control Applications Pavel Grasblum Using the HCS08 TPM Module In Motor Control Applications Designers can choose from a wide range of microcontrollers to provide digital control for variable speed drives. Microcontrollers

More information

DS1642 Nonvolatile Timekeeping RAM

DS1642 Nonvolatile Timekeeping RAM www.dalsemi.com Nonvolatile Timekeeping RAM FEATURES Integrated NV SRAM, real time clock, crystal, power fail control circuit and lithium energy source Standard JEDEC bytewide 2K x 8 static RAM pinout

More information

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab The purpose of this lab is to learn about sensors and use the ADC module to digitize the sensor signals. You will use the digitized signals

More information

Dual, 8-Bit, Low-Power, 2-Wire, Serial Voltage-Output DAC

Dual, 8-Bit, Low-Power, 2-Wire, Serial Voltage-Output DAC 19-3538; Rev ; 2/5 Dual, 8-Bit, Low-Power, 2-Wire, Serial Voltage-Output General Description The is a dual, 8-bit voltage-output, digital-toanalog converter () with an I 2 C*-compatible, 2-wire interface

More information

3-Channel Fun LED Driver

3-Channel Fun LED Driver 3-Channel Fun LED Driver Description is a 3-channel fun LED driver which features two-dimensional auto breathing mode. It has One Shot Programming mode and PWM Control mode for RGB lighting effects. The

More information

ECE251 Intro to Microprocessors Final Exam July 6 th, 2017

ECE251 Intro to Microprocessors Final Exam July 6 th, 2017 ECE251 Intro to Microprocessors Final Exam July 6 th, 2017 Name: Solution Instructions: Two sides of single page handwritten study sheet OK. Arithmetic-only calculator OK. No books, other notes, etc. Do

More information

Ametek, Inc. Rotron Technical Products Division. 100 East Erie St., Suite 200 Kent, Ohio User's Guide. Number Revision F

Ametek, Inc. Rotron Technical Products Division. 100 East Erie St., Suite 200 Kent, Ohio User's Guide. Number Revision F Ametek, Inc. Rotron Technical Products Division 100 East Erie St., Suite 200 Kent, Ohio 44240 User's 120 Volt, 800 Watt and 240 Volt, 1200 Watt Brushless Motor Drive Electronics 5.7" (145 mm) and 7.2"

More information

EE445L Spring 2017 Final Page 1 of 7

EE445L Spring 2017 Final Page 1 of 7 EE445L Spring 2017 Final Page 1 of 7 Jonathan W. Valvano First: Last: EID: This is the closed book section. Calculator is allowed (no laptops, phones, devices with wireless communication). You must put

More information

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION. 500KHz, 18V, 2A Synchronous Step-Down Converter

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION. 500KHz, 18V, 2A Synchronous Step-Down Converter DESCRIPTION The is a fully integrated, high-efficiency 2A synchronous rectified step-down converter. The operates at high efficiency over a wide output current load range. This device offers two operation

More information