A SURVEY ON RECONFIGURABLE LOW COMPLEXITY ARCHITECTURES FOR FIR FILTERS

Size: px
Start display at page:

Download "A SURVEY ON RECONFIGURABLE LOW COMPLEXITY ARCHITECTURES FOR FIR FILTERS"

Transcription

1 A SURVEY ON RECONFIGURABLE LOW COMPLEXITY ARCHITECTURES FOR FIR FILTERS 1 S.KARTHICK, 2 Dr. S. VALARMATHY, 3 R.Nirmal Kumar, 1 Assistant Professor (Sr.G), 2 Professor& Head, 3 Assistant Professor Department of Electronics and Communication Engineering, Bannari Amman Institute of Technology, Sathyamangalam. Abstract -Finite Impulse Response (FIR) filters are widely applied in multistandard wireless communications. The two key requirements of FIR filters are reconfigurability and low complexity. The researches have been introduced many architectures for above key metrics.for reconfigurable FIR filter, two architectures was implemented, namely Constant Shift Method [CSM] and Programmable Shift Method [PSM]. The complexity of linear phase FIR filters is dominated by the number of adders (subtractors) in the coefficient multiplier. The Common Subexpression Elimination (CSE) algorithm was introduced for reducing the number of adders in the multipliers and also dynamically reconfigurable filters can be efficiently implemented based on Canonic Signed Digit (CSD) representation of coefficients. It is well known that the two classes of common subexpression elimination techniques(verticaland horizontal) minimize the two main cost metrics namely logic operators and logic depths in realizing finite impulse response (FIR) filters. A new CSE algorithm using binary representation of coefficients was imtroduced for the implementation of higher order FIR filters with a fewer number of adders than CSD-based CSE methods A new greedy CSE algorithm based on CSD representation of coefficients multipliers was introduced for implementing low complexity higher order FIR filters. Design examples shows that the filter architectures offer power reduction and good area and speed improvement over the existing FIR filter implementation. Keywords- Software Defined Radio (SDR), channelizer, FIR filter, common subexpression elimination. 148 I. INTRODUCTION FIR DIGITAL filters find extensive applications in mobile communication systems for applications such as channelization,channel equalization, matched filtering, and pulse shaping, due to their absolute stability and linear phase properties.the filters employed in mobile systems must be realized to consume less power and operate at high speed. Recently, with the advent of software defined radio (SDR) technology,finite impulse response (FIR) filter research has been focused on reconfigurable realizations. The fundamental idea of an SDR is to replace most of the analog signal processing in the transceivers with digital signal processing in order to provide the advantage of flexibility through reconfiguration.this will enable different air-interfaces to be implemented on a single generic hardware platform to support multistandard wireless communications [1].The aim of the wireless communication receiver is to realize its applications in mobile, low area and low power is possible by implementation of FIR channel filter. Wideband receivers in SDR must be realized to meet the stringent specifications of low power consumption and high speed. Reconfigurability of the receiver to work with different wireless communication standards is another key requirement in an SDR. The most computationally intensive part of an SDR receiver is the channelizer since it operates at the highest sampling rate [2]. It extracts multiple narrowband channels from a wideband signal using a bank of FIR filters, called channel filters. In [3], the filter multiplications are done via state machines in an iterative shift and add component and as a result of this there is huge savings in area. For lower order filters, the approach in [3] offers good trade-off between speed and area. But in general, the channel filters in wireless communication receivers need to be of high order to achieve sharp transition band and low adjacent channel attenuation requirements. For such applications, the approach in [3] results in low speed of operation. The complexity of FIR filters is dominated by the complexity of coefficient multipliers. It is well known that the common subexpression elimination (CSE) methods based on canonical signed digit (CSD) coefficients produce low complexity FIR filter coefficient multipliers [4]. The goal of CSE is to identify multiple occurrences of identical bit patterns that are present in the CSD representation of coefficients, and eliminate these redundant multiplications. A modification of the 2-bit CSE technique in [4] for identifying the proper patterns for

2 elimination of redundant computations and to maximize the optimization impact was proposed in [5]. In [6], the technique in [4] was modified to minimize the logic depth (LD) (LD is defined as the number of adder-steps in a maximal path of decomposed multiplications [7]) and thus to improve the speed of operation. In [8], we have proposed the binary common subexpression elimination (BCSE) method which provided improved adder reductions and thus low complexity FIR filters compared to [3] [6]. In [9], a method based on the pseudo floating point method was used to encode the filter coefficients and thus to reduce the complexity of the filter. But the method in [9] is limited to filter lengths less than 40. In general, the methods in [3] [7] are only suitable for application specific filters where the coefficients are fixed and hence not suitable for reconfigurable filters. The works in [11] [15] and [10], [16] present reconfigurable FIR filter architectures. In [11], a CSD based digit reconfigurable FIR filter architecture was proposed. This architecture was independent of the number of taps because the number of taps and nonzero digits in each tap were arbitrarily assigned.the intention of the authors was to reduce the precision of coefficients and thus the filter complexity without affecting the filter performance. But the architecture in [11] demanded huge hardware resources and this makes the method infeasible for power constrained SDR receiver applications. In [12], a highspeed programmable CSD based FIR filter was proposed. The filter architecture consisted of a programmable CSD based Booth encoding scheme and partial product Wallace adder tree. The final adder was a carry lookahead adder. Though this method offered a high speed solution, the resulting filters consume more power. Another high-speed programmable FIR filter based on polyphase decomposition was proposed in [13].However, this method used the built-in block multipliers of Virtex II field-programmable gate array (FPGA) and therewas no consideration for the complexity reduction of the FIR filter. In [14], the concept of reconfigurable multiplier block (ReMB) was introduced. The ReMB will generate all the coefficient products and a multiplexer will select the required ones depending on the input. It was shown that by pushing the multiplexer deep into the multiplier block design, the redundancy can be reduced. The resulting specialized multiplier design can be more efficient in terms of area and computational complexity compared to the general-purpose multiplier plus the coefficient store [14]. But the ReMB proposed in [14] has its area, power, and speed dependent on the filter-length making them inappropriate for higher order FIR filters. In [15], a multiplexed multiple constant multiplications (MMCM) approach was proposed. This method 149 considers the coefficient set as a constant and uses the graph dependence (GD) algorithms for reducing redundancy. But this method follows a directed acyclic graph structure which will result in long LD and thus gives lower speed of operation. In this paper, CSD AND BINARY based CSE algorithgms(vertical and horizontal) are investigated A new CSE method using a binary representation of filter coefficients, which can completely exploit the symmetry of FIR filter coefficients. The complexity of coefficient multipliers can be reduced by combining three techniques: BHSE, BVSE, and BSSE.It offers a good tradeoff between LD and LOs, particularly for higher order filters. This paper is organized as follows. The CSE method is reviewed in section II. The greedy common subexpression elimination algorithm is proposed in Section III. In Section IV, the CSE and BINARY CSE FIR filter architecture is introduced. HCSE and VCSE methodintroduced in V. Section VI provides the conclusion.and future work. 2 COMMON SUBEXPRESSION ELIMINATION A CSE algorithm using binary representation of coefficients for the implementation of higher order FIR filter with a fewer number of adders than CSD-based CSE methods is used. CSE method is more efficient in reducing the number of adders needed to realize the multipliers when the filter coefficients are represented in the binary form. The observation is that the number of unpaired bits (bits that do not form Common Subexpressions (CSs)) is considerably few for binary coefficients compared to CSD coefficients, particularly for higher order FIR filters. The Binary CSE (BCSE) algorithm deals with elimination of redundant binary common subexpression that occurs within the coefficients. The BCSE technique focuses on eliminating redundant computations in coefficient multipliers by reusing the most common binary bit patterns (BCSs) present in coefficients [9]. The number of BCSs that can be formed in an n-bit binary number is 2n (n + 1). For example, a 3-bit binary representation can form four BCSs, which are [0 1 1], [1 0 1], [1 1 0] and [1 1 1]. These BCSs can be expressed as [0 1 1] = x2 = x + x (1) [1 0 1] = x3 = x + x (2) [1 1 0] = x4 = x + x (3) [1 1 1] = x5 = x + x + x (4) where x is the input signal. Note that other BCSs such as [0 0 1], [0 1 0] and [1 0 0] do not require any adder for implementation as they have only one nonzero bit. A straightforward realization of above BCSs would

3 require five adders. However x2 can be obtained from x4 by a right shift operation (without using any extra adders). x2 = x + x = (x + ) = x4 (5) Also, x5 can be obtained from x4 using an adder: x5 = x + x + x = x4 + x. (6) Thus, only three adders are needed to realize the BCSs x2 to x5. The number of adders required for all the possible n-bit binary subexpressions is 2n 1 1. The number of adders needed to implement the coefficient multipliers using the binary representation-based BCSE is considerably less than the CSD-based CSE methods. 3 GREEDY COMMON SUBEXPRESSION ELIMINATION ALGORITHM The new CSE algorithm combines three techniques, binary Horizontal Subexpression Elimination (HCSE), binary Vertical Subexpression Elimination (VCSE) and hardwiring of the final stages, which reduces the number of adders. This technique focuses on eliminating redundancy by searching and selecting patterns with a look ahead technique in coefficient multiplier [10]. The previous methods only based on (BCSs), for example x3 to x6 are formed from the binary representation of coefficient as follows. [0 1 1] = x3 = x1 + x1 (7) [1 0 1] = x4 = x1 + x1 (8) [1 1 0] = x5= x1 + x1 (9) [1 1 1] = x6 = x1 + x1+ x1 (10) A direct realization of the BHCSs (7) to (10) would require 5 adders. But as x5 can be obtained from x3 by a shift operation and x6 from x5 using an adder, only 3 adders are required to realize the BHCSs. x3= x1+ x1 = x1+ 2-1x1 ) = 2-1x8 (11) x6 = x1 + x1 + x1 = x8 + x1 (12) The main disadvantage of the BHCSs is formed without a look-ahead and therefore many bits are left ungrouped after obtaining the BHCSs. The proposed CSE method can be explained using the example of a 12-tap FIR filter coefficients shown in Table I. Table I Filter Coefficients Representation Of CSD The patterns are obtained based on a look-ahead method, as shown in Table II and III. Table II shows the conventional horizontal subexpression formation for an example filter h0 and h1, whereas Table III shows the same fusing our look-ahead method. In Table II the two bits are ungrouped. Whereas in Table III all the bits are grouped this minimizes the number of adders. The HCSs x3= [1 0 1], x4 = [1 0-1], x5 = [ ],x6 = [ ] and VCS x2 = [1 1]. Table II Sequential Grouping (Horizontal Method) Table III Look Ahead Method Grouping Table IV formed by Table I by substituting HCSs, [1001] =5, [101] =3 and VCS [11] =2. Supersubexpression (SSs) is formed by identical shifts between them or an HCS and nonzero bits. In Table II, the SS 8 and SS 9 are formed. From the HCS [101] and the bit '1'and '-1' with a shift difference of one between them. (as in h3 and h4 ). From Table IV, the output of the example can be expressed as yk = x2 + x6 + x3 + x2[- 1]+ x6[-1]+ x3[- 2]+ x9[ -3]+ x2[-3] + x9[ -4] + x5[ -5]+ x5[ -5] (13) The number of Multiplier Block Adders (MBAs) required to implement the filter using the direct method (method using shifts and adds) in Table I is 18. The proposed Greedy CSE method needs only 11 MBAs (6 for subexpressions and 5 for actual realization), which is a reduction of 39% over the direct method. The reduced percentage is larger when higher order filters are considered. In greedy CSE method coefficient are 150

4 fixed realize low complexitysolution in application of specific filters. In SDR receivers, the channel filter coefficients need to be changed as the filter specification. So, reconfigurability is needed for SDR channel filters. In next section two architectures are proposed that incorporates reconfigurability into the greedy CSE based low complexity filter architecture. 4 FIR FILTER ARCHITECTURE [1 0 1], [1 1 0], and [1 1 1]. These BCSs can be expressed as [0 1 1] = x2 = 2 1x + 2 2x, [1 0 1] = x3 = x + 2 2x, [1 1 0]= x4 = x + 2 1x, and [1 1 1]= x5 = x + 2 1x + 2 2x, where x is the input signal. Note that other BCSs such as [0 0 1], [0 1 0], and [1 0 0] do not require any adder for implementation as they have only one nonzero bit. A straightforward realization of above BCSs would require five adders. However x2 can be obtained from x4 by a right shift operation (without using any extra adders): x2 = 2 1x + 2 2x = 2 1(x + 2 1x) =2 1x4. Also, x5 can be obtained from x4 using an adder: x5 = x + 2 1x + 2 2x = x x. Fig.1.Transposed direct from of an FIR filter A filter is used to modify an input signal in order to facilitate further processing. A digital filter works on a digital input (a sequence of numbers, resulting from sampling and quantizing ann banalog signal) and produces a digital output. In Fig. 1, PE-i represents the processing element corresponding to the i th coefficient. PE performs the coefficient multiplication operation with the help of a shift and add unit which will be explained in the latter part of this section. The architecture of PE is different for proposed CSM and PSM. In the CSM, the filter coefficients are partitioned into fixed groups and hence the PE architecture involves constant shifters. But in the PSM, the PE consists of programmable shifters (PS). The FIR filter architecture can be realized in a serial way in which the same PE is used for generation of all partial products by convolving the coefficients with the input signal (h x[n]) or in a parallel way, where parallel PE architectures are employed. The first option is used when power consumption and area are of prime concern. 4.1 REVIEW OF BCSE METHOD This section reviews the BCSE algorithm, which deals with the elimination of redundant binary common subexpressions (BCSs) that occur within the coefficients. The BCSE technique focuses on eliminating redundant computations in coefficient multipliers by reusing the most common binary bit patterns (BCSs) present in coefficients. An n-bit binary number can form 2n (n + 1) BCSs among themselves. For example, a 3-bit binary representation can form four BCSs, which are [0 1 1], Thus, only three adders are needed to realize the BCSs x2 to x5. The number of adders required for all the possible n-bit binary subexpressions is 2n 1 1. The number of adders needed to implement the coefficient multipliers using the binary representation-based BCSE is considerably less than the CSD-based CSE methods. The proposed FIR filter architecture is based on transposed direct form as shown in Fig. 1. In the transposed direct form, the coefficient multipliers (shown as dotted outline in Fig. 1) share the same input and hence commonly known as multiplier block (MB). The MB reduces the complexity of the FIR filter implementations, by exploiting the redundancy in MCM. Thus, redundant computations (partial product additions in the multiplier) are eliminated using BCSE. The BCSE method in was formulated as a low complexity solution to realize application specific filters where the coefficients are fixed. In the case of channel filters for SDR receivers, the coefficients need to be changed as the filter specification changes with the communication standard. Therefore, reconfigurability is a necessary requirement for SDR channel filters. In the researches introduced, two architectures that incorporate reconfigurability into the BCSE-based low complexity filter architecture. 4.2 FIR FILTER USING CSE ALGORITHM In this section, the architecture of the proposed FIR filter is presented. Our architecture is based on the transposed direct form FIR filter structure as shown in Fig. 1. The dotted portion in Fig. 1 represents the MB. In Fig. 1, PE-i represents the processing element corresponding to the ith coefficient. PE performs the coefficient multiplication operation with the help of a shift and add unit which will be explained in the latter part of this section 151

5 Fig. 2. Architecture of the proposed method.. The architecture of PE is different for proposed CSM and PSM. In the CSM, the filter coefficients are partitioned into fixed groups and hence the PE architecture involves constant shifters. But in the PSM, the PE consists of programmable shifters (PS). The FIR filter architecture can be realized in a serial way in which the same PE is used for generation of all partial products by convolving the coefficients with the input signal (h x[n]) or in a parallel way, where parallel PE architectures are employed. The first option is used when power consumption and area are of prime concern. The basic architecture of the PE (dotted portion) is shown in Fig. 2. The functions of different blocks of the PE are explained below. 1) Shift and Add Unit: It is well known that one of the efficient ways to reduce the complexity of multiplication operation is to realize it using shift and add operations. In contrast to conventional shift and add units used in previously proposed reconfigurable filter architectures, we use the BCSs-based shift and add unit in CSM and PSM architectures. The architecture of shift and add unit is shown in Fig Fig. 3. Architecture of shift and add unit. The shift and add unit is used to realize all the 3-bit BCSs of the input signal ranging from [0 0 0] to [1 1 1]. In Fig. 3, x>>k represents the input x shifted right by k units. All the 3-bit BCSs [0 1 1], [1 0 1], [1 1 0], and [1 1 1] of a 3-bit number are generated using only three adders, whereas a conventional shift and add unit would require five adders. Since the shifts to obtain the BCSs are known beforehand, PS are not required. All these eight BCSs (including [000]) are then fed to the multiplexer unit. In both the architectures (CSM and PSM) proposed in this paper, we use the same shift and add unit. Thus, the use of 3-bit BCSs reduces the number of adders needed to implement the shift and add unit compared to conventional shift and add units. 2) Multiplexer Unit: The multiplexer units are used to select the appropriate output from the shift and add unit. All the multiplexers will share the outputs of the shift and add unit. The inputs to the multiplexers are the 8/4 inputs from the shift and add unit and hence 8:1/4:1 multiplexer units are employed in the architecture. The select signals of the multiplexers are the filter coefficients which are previously stored in a look up table (LUT). The CSM and PSM architectures basically differ in the way filter coefficients are stored in the LUT. In the CSM, the coefficients are directly stored in LUTs without any modification whereas in PSM, the coefficients are stored in a coded format. The number of multiplexers will also be different for PSM and CSM. In CSM, the number of multiplexers will be dependent on the number of groups after the partitioning of the filter coefficient into fixed groups. The number of multiplexers in the PSM is dependent on the number of non-zero operands in the coefficient for the worst case after the application of BCSE algorithm. 3) Final Shifter Unit: The final shifter unit will perform the shifting operation after all the intermediate additions (i.e., intra-coefficient additions) are done. This can be illustrated using the output expression y = 2 4x + 2 6x x x. (1) By coefficient-partitioning [16], we obtain y = 2 4(x + 2 2x) (x + 2 1x). (2) After obtaining the intermediate sums (x + 2 2x) and (x + 2 1x) from the shift and add units with the help of multiplexer unit, the final shifter unit will perform the shift operations 2 4and 2 15 in (2). The PSM and CSM architectures also differ in the nature of final shifters. In the CSM, the final shifts are constants and hence no PS are required. In the PSM, we have used PS. 4) Final Adder Unit: This unit will compute the sum of all the intermediate additions 2 4(x + 2 2x) and 2 15(x +2 1x) as in (2). As the filter specifications of different communication standards are different, the coefficients change with the standards. In conventional reconfigurable filters, the new coefficient set

6 corresponding to the filter specification of the new communication standard is loaded in the LUT. Subsequently, the shift and add unit performs a bitwise addition after appropriate shifts. On the contrary, the CSM and PSM architectures perform a binary common subexpression (BCS)-wise addition (instead bitwise addition). Thus, the same hardware architecture can be used for different filter specifications to achieve the necessary reconfigurability.moreover, the BCS-based shift and add unit reduces addition operations and hence offers hardware complexity reduction. A. ARCHITECTURE OF CSM The CSM architecture is quite straight forward. The basic design in this approach is to store the coefficients directly in the LUT. These coefficients are divided into groups of 3-bits and are used as the select signal for the multiplexers. In this architecture the number of multiplexer units required is [n/3], where n is the wordlength of the filter coefficients. For example, if the filter coefficients are 9-bit, then the number of multiplexers required is 3. This approach can be explained with the help of a 9-bit coefficient h= This h is the worst-case 9-bit coefficient since all the bits are nonzero. Since n=9, the number of multiplexers required is 3. The coefficient h is expressed as y =2-1x+2-2x +2-3x +2-4x +2-5x +2-6x +2-7x +2-8x+2-9x (14) By partitioning equation (8), we obtain h = 2-1 (x +2-1x+2-2x +2-3x +2-4x +2-5x +2-6x +2-7x+2-8x) (15) h = 2-1 (x +2-1x+2-2x +2-3 (x +2-1x +2-2x) +2-6(x +2-1x+2-2x) (16) Now the terms (x +2-1x +2-2x) and (x +2-1x) can be obtained from the shift and add unit. Then by using the 3 multiplexers, precisely using two 8:1 and one 4:1 (for the last two bits of the filter coefficients), the intermediate sums shown inside the brackets of (16) can be obtained. The final shifter unit will perform the shift operations 2 1, 2 3 and 2 6. Since these shifts are always constant, programmable shifters are not required. The final adder unit will add all the intermediate sums to obtain h*x [1]. The CSM architecture for the 16-bit filter coefficient is shown in Fig. 2. The steps involved in CSM are as follows: Step 1: Get the input x. Step 2: Get the coefficients from the LUT and use as the select signal for the multiplexers. Step 3: Perform the final shifting function on the output of the multiplexer. Fig. 2 CSM Architecture for 16bit coefficient Step 4: Perform the addition of intermediate sums using the final adder unit. Step 5: Store the final result, h*x, in the delay unit D. Step 6: Go to step 2 if the coefficients in the LUT are not finished, else go to 1. The three most significant bits of the coefficient will be given as the select signal to the Mux1, the next 3-bits to Mux2 and so on till the least significant bits to the last multiplexer. B. ARCHITECTURE OF PROGRAMMABLE SHIFT METHOD The PSM approach is based on the common subexpression elimination algorithm presented. Unlike the CSM method where constant shifts are used, the PSM employs programmable shifters. The advantage of PSM over CSM is that the former architecture always ensures the minimum number of additions and thus minimum power consumption. This is because PSM has a pre analysis part. The filter coefficients are analyzed using the CSE algorithm [7]. Thus the redundant computations (additions) are eliminated and the resulting coefficients in a coded format are stored in the LUT. The coding can be explained as given below. Consider the coefficient h, h = [ ] (17) By using the CSE, substituting 2= [1 1], 3= [1 0 1], (16) becomes h = [ ] (18) Then (12) will be stored in the LUT as [{1, 3}, {6, 2}, {10, 3}, {15, 2}] which can be represented as {x,y}, 153

7 where x represents the shift value and the y represents the BCS (7) to (10). The LUT contains the data in the form {x,y}. Since x can have 8 possible combinations (from [000] to [111]), it requires 3 bits, and y can have values from [0001] to [1111] for a 16-bit coefficient and hence requires 4 bits. (It must be noted that 2 1 is being applied always after final addition (17) and hence 2 16 will not occur). Thus for storing {x,y} 7 bits are required. The shift and add unit is identical for both PSM and CSM. The number of multiplexer units required can be obtained from the filter coefficients after the application of greedy CSE. The number of multiplexers will be corresponding to the coefficient that has the maximum number of operands. The architecture for the PSM method with programmable shifts (PS) is shown in Fig. 3. The steps involved in PSM are as follows: Step 1: Obtain the BCSs from filter coefficients using CSE algorithm. Step 2: Store the resultant coefficients in the prescribed format as in (18) in the LUT. Step 3: Get the input x. Step 4: Get the coefficients from the LUT and use as the select signal for the multiplexers and the programmable shifters. Step 5: Perform the final shifting function on the output of the multiplexer using PS. Step 6: Perform the addition of intermediate sums using the final adder unit. 5.CSE CLASSIFICATION FOR REDUCING LOGIC OPERATORS AND LOGIC DEPTH The CSE techniques utilize the CSs that occur within the CSD representation of the filter coefficients called HCSs and that occur among the adjacent coefficients called VCSs to eliminate redundant computations. In this section, we provide a brief review of the HCSE and VCSE techniques. A. HORIZONTAL CSE (HCSE) HCSE utilizes CSs that occur within each coefficient to eliminate redundant computations. The coefficient hk = is used as an example to illustrate the HCSE method [3]. In direct implementation (i.e., implementation of the multiplier using shifts and adds without using CSE), the filter tap output is yk = 2 1x1 2 3x x x1+2 9x x x1 2 16x1 (1) where x1 is the input signal. It requires seven LOs (adders and/or subtractors) to implement (1). The bit patterns [1 0 1] and [1 0 1] are repeated twice in hk, which can be expressed as CSs (x2 = x x1 and x3 = x1 2 2x1, respectively). Using CSs, the output (1) can be expressed as yk = 2 1x x x x3. (2) Note that only five LOs [two LOs for CSs x2 and x3, and three LOs for (2)] are needed for HCSE implementation, which is a saving of two LOs when compared to direct implementation. Fig. 3 PSM architecture for 16bit coefficient Step 7: Store the final result, h*x, in the delay unit D Step 8: Go to step 4 if the coefficients in the LUT are not finished, else go to B. VERTICAL CSE (VCSE) The VCSE utilizes CSs that exist across adjacent coefficients to eliminate redundant computations. The coefficient multipliers are realized by employing vertical CSs of [1 1] and [1 1]. The VCS of [1 1] can be expressed as x4 = x1 + x1[ 1], and the VCS of [1 1] can be expressed as x5 = x1 x1[ 1], where x1[ 1] represents the input x1 delayed by one unit. (ex)by using VCSs, the output y0 corresponding to coefficients h0 and h1 can be expressed as y0 = 2 1x x5 2 6x x1. (3) The output y0 requires three LOs for (3) and two LOs for x4 and x5, with a total of five LOs, whereas direct implementation would require six LOs. In general, VCSE offers reduction of LOs due to the occurrence of many vertical CSs in the coefficient set. However, CSD-based VCSE fails to exploit the symmetry of coefficients in implementing the filter. For the symmetric part of (3), by using the same VCSs, the expression for the output of coefficients h2 and h3 is given by y2 = 2 1x4[ 2] 2 3x5[ 2] 2 6x4[ 2] +

8 2 8x1[ 3].(4) There are two issues (constraints) related to symmetry exploitation in VCSE using CSD representation of filter coefficients, first, due to the differences in signs of the second terms in (3) and (4), and second, delay differences for the fourth terms in (3) and (4). Hence, (4) cannot be directly obtained from its symmetric part (3) by a simple delay operation; instead, extra LOs are needed to compensate the sign and delay differences. This requirement of extra LOs poses constraints in reducing the number of LOs in CSD-based VCSE method [8]. In binarybased approach, only the second problem of delay difference will occur. There are no sign problems as there are no negative bits in binary. This makes binary-based CSE method more efficient for VCSE compared to the CSDbased approach. Filters used in wireless communication receivers are mainly linear phase (symmetric coefficients) to avoid phase distortions. Hence, binarybased VCSE is more feasible and will result in better reduction of adders compared to CSD-VCSE for these filters.(in binary based csd no -ve signs are there in coeficients.it's only consist of 0's and 1's).This is the main difference between CSE and BINARY based CSE in horizontal,vertical classification B. BINARY SS ELIMINATION (BSSE) If two or more common BCSs occur among different coefficientsand if these BCSs are having identical shifts between them, then they are known as CBCS. BSSE involves the grouping of CBCS terms shared among coefficients. Each coefficient is compared with the remaining coefficients for CBCSs. If more than one common BHCS occur between a coefficient pair, the CBCSs can be grouped together to eliminate redundant computations. The steps for BSSE are as follows. the next level. This is done as follows. Set i0 = 1 initially. a) Compute the largest CI Cmax i0,jm of the i0 th row from Ci,j i=i0,j=i0+1:j=jl, where jm corresponds to the column in which the largest CI lies. b) Check all the CIs in the jm column to find whether any other CI greater than Cmax i0,jm exists.if no such CI exists, choose Cmax i0,jm as the largest CI of the i0th row, and group the corresponding pair [h(i0),h(jm)]. Otherwise, choose the second largest CI of the i0th row as the largest CI, and obtain the CBCS from respective coefficient pair. Step 3) Let the largest CI obtained in previous step be Ci0jh.Replace all the elements of corresponding rows and columns with zero to exclude the coefficient pair chosen earlier from further search. Step 4) If i0 L, set i0 = i0 + 1, and go to step 2). Thus,determine all the CBCSs, and eliminate them from the filter coefficient set as all these CBCSs need to be implemented only once.c. EXAMPLES OF 6 TAP FILTER FOR HCSE AND VCSE BD BHSE deals with the elimination of redundant binary horizontal CSs (BHCSs) that occur within a coefficient. Step 1) Let Cij represent the CI of the coefficient pair h(i) and h(j), and L is the number of filter taps. Definition of CI: The CI of a coefficient pair is defined as the number of CBCSs obtained after BHSE algorithm. Thus, the CI of a coefficient pair is given by the number of identical shifts between the BHCSs present in the coefficient pair. Determine the CIs of all the coefficient pairs and form the correlation matrix C[hij ] Step 2) The correlation matrix C[hij ] is scanned rowwise, and the coefficient pair corresponding to the largest CI is grouped together to extract the CBCS of each row. It may be noted that, while selecting the best coefficient pairs, matching at one level must take into account how a particular match influences matching at A.filter implementation using HCSEmethod. 155

9 B.filter implementation using VCSEmethod. 6.CONCLUSION AND FUTURE WORK The two new approaches are CSM and PSM, for implementing reconfigurable higher order filters with low complexity. The proposed CSM and PSM methods make use of architectures with fixed number of multiplexers and the reduction in complexity is achieved by applying the greedy CSE algorithm. The CSM architecture results in high speed filters and PSM architecture results in low area and thus low power filter implementations. The PSM also provides the flexibility of changing the filter coefficient wordlengths dynamically. The reconfigurable architectures can be easily modified to employ any common subexpression elimination (CSE) method, which results in architectures that offers good area and power reductions and speed improvement reconfigurable FIR filter implementations.binary besed fir filter gives better reduction than csd based CSE method.then in classes of CSE,the VCSE gives better adder reduction than HCSE.These techniques are used in fir filter for reconfigurablity and low complexity.so I am going to simulate and compare the results of above techniques(csd and binary based cse,greedy,vcse and HCSE) for find the best one adder reduction method. REFERENCES [1] T. Hentschel and G. Fettweis, Software radio receivers, in CDMA Techniques for Third Generation Mobile Systems. Dordrecht, The Netherlands: Kluwer Academic, 1999, pp [2] J. Mitola, Object-oriented approaches to wireless systems engineering, in Software Radio Architecture. New York: Wiley, [3] Y. Linn, Efficient loop filter design in FPGAs for phase lock loops in high-data rate wireless receivers: Theory and case study, in Proc. 6th Annu. Wireless Telecommun. Symp., Pomona, CA, Apr. 2007, pp [4] R. I. Hartley, Subexpression sharing in filters using canonic signed digit multipliers, IEEE Trans. Circuits Syst. II, vol. 43, no. 10, pp , Oct [5] R. Pasko, P. Schaumont, V. Derudder, S. Vernalde, and D. Durackova, A new algorithm for elimination of common subexpressions, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 18, no. 1, pp , Jan [6] M. M. Peiro, E. I. Boemo, and L. Wanhammar, Design of high-speed multiplierless filters using a nonrecursive signed common subexpression algorithm, IEEE Trans. Circuits Syst. II, vol. 49, no. 3, pp , Mar [7] A. P. Vinod and E. M.-K. Lai, On the implementation of efficient channel filters for wideband receivers by optimizing common subexpression elimination methods, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 2, pp , Feb [8] R. Mahesh and A. P. Vinod, A new common subexpression elimination algorithm for realizing low complexity higher order digital filters, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 2, pp , Feb [9] A. P. Vinod and E. Lai, Low power and high-speed implementation of FIR filters for software defined radio receivers, IEEE Trans. Wireless Commun., vol. 5, no. 7, pp , Jul [10] K. Muhammad and K. Roy, Reduced computational redundancy implementation of DSP algorithms using computation sharing vector scaling, IEEE Trans. Very Large Scale Integr. Syst., vol. 10, no. 3, pp , Jun [11] K. H. Chen and T. D. Chiueh, A low-power digitbased reconfigurable FIR filter, IEEE Trans. Circuits Syst. II, vol. 53, no. 8, pp , Aug [12] T. Zhangwen, J. Zhang, and H. Min, A highspeed, programmable, CSD coefficient FIR filter, IEEE Trans. Consumer Electron., vol. 48, no. 4, pp , Nov [13] X. Chenghuan, C. He, Z. Shunan, and W. Hua, Design and implementation of a high-speed programmable polyphase FIR filter, in Proc. 5th Int. Conf. Applicat.-Specific Integr. Circuit, vol. 2. Oct. 2003, pp

10 [14] S. S. Demirsoy, I. Kale, and A. G. Dempster, Efficient implementation of digital filters using novel reconfigurable multiplier blocks, in Proc. 38th Asilomar Conf. Signals Syst. Comput., vol. 1. Nov. 2004, pp [15] P. Tummeltshammer, J. C. Hoe, and M. Puschel, Multiplexed multiple constant multiplication, IEEE Trans. Comput.-Aided Design Integr. Circuits, vol. 26, no. 9, pp , Sep [16] J. Park, W. Jeong, H. Mahmoodi-Meimand, Y. Wang, H. Choo, and K.Roy, Computation sharing programmable FIR filter for low-power and high-performance applications, IEEE J. Solid State Circuits, vol. 39, no. 2, pp , Feb [77] A. P. Vinod and E. Lai, Low power and highspeed implementation of FIR filters for software defined radio receivers, IEEE Trans. Wireless Commun., vol. 5, no. 7, pp , Jul

Hardware Efficient Reconfigurable FIR Filter

Hardware Efficient Reconfigurable FIR Filter International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 7, Issue 7 (June 2013), PP. 69-76 Hardware Efficient Reconfigurable FIR Filter Balu

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

Design and Implementation of Reconfigurable FIR Filter

Design and Implementation of Reconfigurable FIR Filter Design and Implementation of Reconfigurable FIR Filter using VHBCSE Algorithm Nune Anusha 1 B. Vasu Naik 2 anushanune44@gmail.com 1 vasu523@gmail.com 2 1 PG Scholar, Dept of ECE, Ganapathy Engineering

More information

SDR Applications using VLSI Design of Reconfigurable Devices

SDR Applications using VLSI Design of Reconfigurable Devices 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology SDR Applications using VLSI Design of Reconfigurable Devices P. A. Lovina 1, K. Aruna Manjusha

More information

I. Introduction. Reddy, Telangana. Ranga Reddy, Telangana. 3 Professor, HOD, Dept of ECE, Sphoorthy Engineering College, Nadergul, Saroor Nagar, Ranga

I. Introduction. Reddy, Telangana. Ranga Reddy, Telangana. 3 Professor, HOD, Dept of ECE, Sphoorthy Engineering College, Nadergul, Saroor Nagar, Ranga An Optimized Design of Area Delay Power Efficient Architecture for Reconfigurable FIR Filter K.Sowjanya 1 K.Santhosh Kumar 2 Dr.K.Siva Kumara Swamy 3 sowjanyakoriginja@gmail.com 1 skanaparthy@gmail.com

More information

VLSI DESIGN OF RECONFIGURABLE FILTER FOR HIGH SPEED APPLICATION

VLSI DESIGN OF RECONFIGURABLE FILTER FOR HIGH SPEED APPLICATION VLSI DESIGN OF RECONFIGURABLE FILTER FOR HIGH SPEED APPLICATION K. GOUTHAM RAJ 1 K. BINDU MADHAVI 2 goutham.thyaga@gmail.com 1 Bindumadhavi.t@gmail.com 2 1 PG Scholar, Dept of ECE, Hyderabad Institute

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters Multiple Constant Multiplication for igit-serial Implementation of Low Power FIR Filters KENNY JOHANSSON, OSCAR GUSTAFSSON, and LARS WANHAMMAR epartment of Electrical Engineering Linköping University SE-8

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1 TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1 An Efficient Constant Multiplier Architecture Based on Vertical-Horizontal Binary Common Sub-expression Elimination Algorithm for Reconfigurable

More information

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 3, March 2014,

More information

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder Sony Sethukumar, Prajeesh R, Sri Vellappally Natesan College of Engineering SVNCE, Kerala, India. Manukrishna

More information

Multiplierless Multi-Standard SDR Channel Filters

Multiplierless Multi-Standard SDR Channel Filters Multiplierless Multi-Standard SDR Channel Filters Douglas L. Maskell, A.P. Vinod and Graham S. Woods School of Computer Engineering Nanyang Technological University, Singapore James Cook University, Townsville,

More information

A Hardware Efficient FIR Filter for Wireless Sensor Networks

A Hardware Efficient FIR Filter for Wireless Sensor Networks International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: 2347-5552, Volume-2, Issue-3, May 204 A Hardware Efficient FIR Filter for Wireless Sensor Networks Ch. A. Swamy,

More information

IN SEVERAL wireless hand-held systems, the finite-impulse

IN SEVERAL wireless hand-held systems, the finite-impulse IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 51, NO. 1, JANUARY 2004 21 Power-Efficient FIR Filter Architecture Design for Wireless Embedded System Shyh-Feng Lin, Student Member,

More information

An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC

An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC MANOJKUMAR REDDY. NALI #8-185/1 NEW BALAJI COLONY M.R.PALLI TIRUPATHI, CHITTOOR(DIST),

More information

2 Assistant Professor, Dept of ECE, Universal College of Engineering & Technology, AP, India,

2 Assistant Professor, Dept of ECE, Universal College of Engineering & Technology, AP, India, ISSN 2319-8885 Vol.03,Issue.41 November-2014, Pages:8270-8274 www.ijsetr.com E. HEMA DURGA 1, K. BABU RAO 2 1 PG Scholar, Dept of ECE, Universal College of Engineering & Technology, AP, India, E-mail:

More information

Design and Implementation of Digit Serial Fir Filter

Design and Implementation of Digit Serial Fir Filter International Journal of Emerging Engineering Research and Technology Volume 3, Issue 11, November 2015, PP 15-22 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Design and Implementation of Digit Serial

More information

VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture

VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture Mr.K.ANANDAN 1 Mr.N.S.YOGAANANTH 2 PG Student P.S.R. Engineering College, Sivakasi, Tamilnadu, India 1 Assistant professor.p.s.r

More information

IMPLEMENTATION OF MULTIRATE SAMPLING ON FPGA WITH LOW COMPLEXITY FIR FILTERS

IMPLEMENTATION OF MULTIRATE SAMPLING ON FPGA WITH LOW COMPLEXITY FIR FILTERS IMPLEMENTATION OF MULTIRATE SAMPLING ON FPGA WITH LOW COMPLEXITY FIR FILTERS Prof. R. V. Babar 1, Pooja Khot 2, Pallavi More 3, Neha Khanzode 4 1, 2, 3, 4 Department of E&TC Engineering, Sinhgad Institute

More information

On the design and efficient implementation of the Farrow structure. Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p.

On the design and efficient implementation of the Farrow structure. Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p. Title On the design and efficient implementation of the Farrow structure Author(s) Pun, CKS; Wu, YC; Chan, SC; Ho, KL Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p. 189-192 Issued Date 2003

More information

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2 ISSN 2319-8885 Vol.03,Issue.38 November-2014, Pages:7763-7767 www.ijsetr.com Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S

More information

ISSN Vol.03,Issue.11, December-2015, Pages:

ISSN Vol.03,Issue.11, December-2015, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.03,Issue.11, December-2015, Pages:2211-2216 An Efficient VLSI Architecture of a Reconfigurable Pulse-Shaping FIR Interpolation Filter for Multi-standard DUC G. S. SIVA

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 1,2 Electronics

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Fir Filter Using Area and Power Efficient Truncated Multiplier R.Ambika *1, S.Siva Ranjani 2 *1 Assistant Professor,

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

High Performance and Area Delay Efficient Interpolation Filter Architecture

High Performance and Area Delay Efficient Interpolation Filter Architecture High Performance and Area Delay Efficient Interpolation Filter Architecture Ankita Anshu M.Tech (VLSI), B.S.Priyanka Kumari Assistant Professor, Surya Kumari Assistant Professor, Abstract Finite Impulse

More information

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Yelle Harika M.Tech, Joginpally B.R.Engineering College. P.N.V.M.Sastry M.S(ECE)(A.U), M.Tech(ECE), (Ph.D)ECE(JNTUH), PG DIP

More information

International Journal of Computer Science Trends and Technology (IJCST) Volume 2 Issue 5, Sep-Oct 2014

International Journal of Computer Science Trends and Technology (IJCST) Volume 2 Issue 5, Sep-Oct 2014 RESEARCH ARTICLE OPEN ACCESS An Empirical Scheme of Different Algorithm in Fir Filter Designs Based On Faithfully Rounded Truncated MCMA Satheesh.R 1, Rajesh Babu.G 2 Research Scholar 1, Assistant Professor

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing 2015 International Conference on Computer Communication and Informatics (ICCCI -2015), Jan. 08 10, 2015, Coimbatore, INDIA Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing S.Padmapriya

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS

DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS MAHESH BABU KETHA*, CH.VENKATESWARLU ** KANTIPUDI RAGHURAM** ECE Department Pragati Engineering College, Surampalem,

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

Novel Block-Formulation and Area-Delay-Efficient Reconfigurable Interpolation Filter Architecture for Multi-Standard SDR Applications

Novel Block-Formulation and Area-Delay-Efficient Reconfigurable Interpolation Filter Architecture for Multi-Standard SDR Applications IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 1, JANUARY 2015 283 Novel Block-Formulation and Area-Delay-Efficient Reconfigurable Interpolation Filter Architecture for Multi-Standard

More information

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm M. Suhasini, K. Prabhu Kumar & P. Srinivas Department of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS M. Sai Sri 1, K. Padma Vasavi 2 1 M. Tech -VLSID Student, Department of Electronics

More information

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay 1. K. Nivetha, PG Scholar, Dept of ECE, Nandha Engineering College, Erode. 2.

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Optimized FIR filter design using Truncated Multiplier Technique

Optimized FIR filter design using Truncated Multiplier Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Optimized FIR filter design using Truncated Multiplier Technique V. Bindhya 1, R. Guru Deepthi 2, S. Tamilselvi 3, Dr. C. N. Marimuthu

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Low Power FIR Filter Structure Design Using Reversible Logic Gates for Speech Signal Processing

Low Power FIR Filter Structure Design Using Reversible Logic Gates for Speech Signal Processing Low Power FIR Filter Structure Design Using Reversible Logic Gates for Speech Signal Processing V.Laxmi Prasanna M.Tech, 14Q96D7714 Embedded Systems and VLSI, Malla Reddy College of Engineering. M.Chandra

More information

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers

More information

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. PP 42-46 www.iosrjournals.org Design and Simulation of Convolution Using Booth Encoded Wallace

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS

DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS V.Suruthi 1, Dr.K.N.Vijeyakumar 2 1 PG Scholar, 2 Assistant Professor, Dept of EEE, Dr. Mahalingam College of Engineering

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

AN EFFICIENT DESIGN OF ROBA MULTIPLIERS 1 BADDI. MOUNIKA, 2 V. RAMA RAO M.Tech, Assistant professor

AN EFFICIENT DESIGN OF ROBA MULTIPLIERS 1 BADDI. MOUNIKA, 2 V. RAMA RAO M.Tech, Assistant professor AN EFFICIENT DESIGN OF ROBA MULTIPLIERS 1 BADDI. MOUNIKA, 2 V. RAMA RAO M.Tech, Assistant professor 1,2 Eluru College of Engineering and Technology, Duggirala, Pedavegi, West Godavari, Andhra Pradesh,

More information

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER 1 SAROJ P. SAHU, 2 RASHMI KEOTE 1 M.tech IVth Sem( Electronics Engg.), 2 Assistant Professor,Yeshwantrao Chavan College of Engineering,

More information

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India.

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India. DESIGN AND IMPLEMENTATION OF MAC UNIT FOR DSP APPLICATIONS USING VERILOG HDL Amit kumar 1 Nidhi Verma 2 amitjaiswalec162icfai@gmail.com 1 verma.nidhi17@gmail.com 2 1 PG Scholar, VLSI, Bhagwant University

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN OF HIGH SPEED FIR FILTER ON FPGA BY USING MULTIPLEXER ARRAY OPTIMIZATION IN DA-OBC ALGORITHM Palepu Mohan Radha Devi, Vijay

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

Design of 8-4 and 9-4 Compressors Forhigh Speed Multiplication

Design of 8-4 and 9-4 Compressors Forhigh Speed Multiplication American Journal of Applied Sciences 10 (8): 893-900, 2013 ISSN: 1546-9239 2013 R. Marimuthu et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.893.900

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL 1 Shaik. Mahaboob Subhani 2 L.Srinivas Reddy Subhanisk491@gmal.com 1 lsr@ngi.ac.in 2 1 PG Scholar Dept of ECE Nalanda

More information

LOW POWER AND AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURE USING MODIFIED SQRT CARRY SELECT ADDER

LOW POWER AND AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURE USING MODIFIED SQRT CARRY SELECT ADDER Volume 117 No 17, 193-197 ISSN: 1311-88 (printed version); ISSN: 1314-3395 (on-line version) url: http://wwwijpameu ijpameu LOW POWER AND AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURE USING MODIFIED

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Vijay Dhar Maurya 1, Imran Ullah Khan 2 1 M.Tech Scholar, 2 Associate Professor (J), Department of

More information

Computer Arithmetic (2)

Computer Arithmetic (2) Computer Arithmetic () Arithmetic Units How do we carry out,,, in FPGA? How do we perform sin, cos, e, etc? ELEC816/ELEC61 Spring 1 Hayden Kwok-Hay So H. So, Sp1 Lecture 7 - ELEC816/61 Addition Two ve

More information

Continuously Variable Bandwidth Sharp FIR Filters with Low Complexity

Continuously Variable Bandwidth Sharp FIR Filters with Low Complexity Journal of Signal and Information Processing, 2012, 3, 308-315 http://dx.doi.org/10.4236/sip.2012.33040 Published Online August 2012 (http://www.scirp.org/ournal/sip) Continuously Variable Bandwidth Sharp

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding S.Reshma 1, K.Rjendra Prasad 2 P.G Student, Department of Electronics and Communication Engineering, Mallareddy

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC

LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC Linköping Studies in Science and Technology Thesis No. 249 LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC Kenny Johansson LiU-Tek-Lic-26:3 Department of Electrical Engineering

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK FUSED ADD-MULTIPLY OPERATOR FOR MODIFIED BOOTH RECODER APARNA V. KALE, PROF. M.

More information

Fixed Point Lms Adaptive Filter Using Partial Product Generator

Fixed Point Lms Adaptive Filter Using Partial Product Generator Fixed Point Lms Adaptive Filter Using Partial Product Generator Vidyamol S M.Tech Vlsi And Embedded System Ma College Of Engineering, Kothamangalam,India vidyas.saji@gmail.com Abstract The area and power

More information

FINITE-impulse response (FIR) filters play a crucial role

FINITE-impulse response (FIR) filters play a crucial role IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 8, AUGUST 2006 617 A Low-Power Digit-Based Reconfigurable FIR Filter Kuan-Hung Chen and Tzi-Dar Chiueh, Senior Member, IEEE Abstract

More information

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY 2013 187 [4] J. A. de Lima and C. Dualibe, A linearly tunable low-voltage CMOS transconductor with improved common-mode

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

FPGA Implementation of Serial and Parallel FIR Filters by using Vedic and Wallace tree Multiplier

FPGA Implementation of Serial and Parallel FIR Filters by using Vedic and Wallace tree Multiplier FPGA Implementation of Serial and Parallel FIR Filters by using Vedic and Wallace tree Multiplier P Kiran Mojesh 1, N Rajesh Babu 2 P. G. Student, Department of Electronics & Communication Engineering,

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Markus Myllylä University of Oulu, Centre for Wireless Communications markus.myllyla@ee.oulu.fi Outline Introduction

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS Jeena James, Prof.Binu K Mathew 2, PG student, Associate Professor, Saintgits College of Engineering, Saintgits College of Engineering, MG University,

More information

S.Nagaraj 1, R.Mallikarjuna Reddy 2

S.Nagaraj 1, R.Mallikarjuna Reddy 2 FPGA Implementation of Modified Booth Multiplier S.Nagaraj, R.Mallikarjuna Reddy 2 Associate professor, Department of ECE, SVCET, Chittoor, nagarajsubramanyam@gmail.com 2 Associate professor, Department

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

A MODIFIED ARCHITECTURE OF MULTIPLIER AND ACCUMULATOR USING SPURIOUS POWER SUPPRESSION TECHNIQUE

A MODIFIED ARCHITECTURE OF MULTIPLIER AND ACCUMULATOR USING SPURIOUS POWER SUPPRESSION TECHNIQUE A MODIFIED ARCHITECTURE OF MULTIPLIER AND ACCUMULATOR USING SPURIOUS POWER SUPPRESSION TECHNIQUE R.Mohanapriya #1, K. Rajesh*² # PG Scholar (VLSI Design), Knowledge Institute of Technology, Salem * Assistant

More information

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 8, 2015, PP 37-49 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org FPGA Implementation

More information

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER MURALIDHARAN.R [1],AVINASH.P.S.K [2],MURALI KRISHNA.K [3],POOJITH.K.C [4], ELECTRONICS

More information

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Abstract An area-power-delay efficient design of FIR filter is described in this paper. In proposed multiplier unit

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information