Human Generations Driving Semiconductor Materials Demand. Lita Shon-Roy President / CEO Semicon Europa October

Size: px
Start display at page:

Download "Human Generations Driving Semiconductor Materials Demand. Lita Shon-Roy President / CEO Semicon Europa October"

Transcription

1 Human Generations Driving Semiconductor Materials Demand Lita Shon-Roy President / CEO Semicon Europa October info@techcet.com

2 Outline Introduction World Economic Influencers Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 2

3 Disclaimer This presentation represents the interpretation and analysis of information generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods. 3

4 Analyze, Prepare, and Move Forward The World is Changing 4

5 TECHCET : A Technology Centered Semiconductor Materials Market & Supply Chain Advisory Firm CMCFabs include: Intel Micron GF TI Infineon Qorvo Cypress SEMATECH Etc. CMC Fabs is a membership based group made up of Semiconductor Device Fabricators For more info go to : TECHCET started in 2000 to support the CMC hosted by Sematech / ISMI and the materials supply chain. Supporting the CMC / SEMATECH for > 15 years 5

6 Outline Introduction World Economic Influencers China & US The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors Summary 6

7 A Connected World Indeed! WW News When China Sneezes. China Economy Softening due to moderating consumer demand as well as increasing debt. Current Gov t GDP : Debt = 43.9% and growing 5.6%/yr Housing Bubble Presidential Race: Donald Trump vs. Hillary Clinton Issues Raised during campaigning: China Trade, Iran nuclear deal, TransPacific Partnership ideas BREXIT The UK the 5 th largest economy of the world exiting the EU free trade zone Impact Lower sales demand from China can create a negative impact on manufacturing across the world. US / European companies depend on sales to China China manufacturing dependent on WW manufacturing Demand Major changes in foreign trade policy may create significant shifts in corporate profitability and consumer spending. Uncertainty; may likely lead to delayed investment and in turn impacting corporate and consumer spending. ANOTHER Major Influencer: A Changing Population 7

8 The Next Generation of Users of Electronic Stuff This year, the Millennial generation in the US is projected to surpass the Baby Boom generation as the nation s largest living generation, according to the population projections released by the U.S. Census Bureau last month. [1] US Millennials = 78 M people 8

9 Populations Drive Consumer Spending The majority of consumers over the next decade will be from Millennials (ages 18-34): 28% of the largest economies The second largest population will be the Babyboomers: 25% B Populations Babyboomers and Millennials Represent the two largest generations These two generations will determine what will sell and what chips we will need to make M The largest single market is potentially China, followed by the US. References [2], [3] & [4] All figures refer to China Europe** US Japan S. Korea Taiwan Youngins 0-14 'Milliennials' 15-34* X generation 'Babyboomers' 50-69* 70+ ** excludes Russia and Eastern Europe 9

10 Which Country are these Millennials from? They re criticized for being materialistic and rebellious, with unprecedented access to consumer goods and exposure to global pop culture. They re also educated and tech-savvy (like the post- 90s and following generations) and have access to more information and social networks than ever before. Do you want a hint? Their most famous members include NBA player Yao Ming, young-adult novelist Guo Jingming an outspoken blogger Han In China, the two largest populations are the age group and the age group [8] Millennials (ages 15-34) here make up ~30 % of the population. 1.38B x 21% = 290 M people References: [5], [6] & [7] 10

11 The Next Generation of Users Millennials (people ages :14-24 by 2015) [1-3, 8,9] Will buy Instead of buying Cell phones TVs Tablets Less desktop Laptops computers Bicycles; mass transit user Cars Rent before buy, instead Tiny Home (or live at home with aging parents) Buying a house right away Online delivered to door Bulk purchases (TESCO? and Costco?) Electronic stuff to track their aging parents Service support 11

12 Impact on Electronics Markets? Continued need and dependency on more smart, interconnected portable, electronics stuff Internet Connectivity Demands Grow # wireless devices & operating frequencies RF growth Sensors, and programmable devices Big Data, Security and Software Applications Growing Continued Growth Portable Devices and Big Data driving growth in low power, compact devices, <65nm & leading edge and.materials 12

13 Outline Introduction World Economic Influencers The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 14

14 IC Technology Roadmap Evolutions/Revolutions Note Node is nm performance, physical is GLph Non-Volatile 1X & 1Z nm Shrink Planar NAND Non-Volatile 80-30nm features 3D NAND (BiCS, TCAT, etc.) Charge Trap Flash in Vertical Plane also called 3D or V-NAND Non-Volatile <10nm CNT? PCM 3D/V-NAND Extend 5+ yrs 16 to 256 layers RAM & Non Volatile? 18-15nm STT-MRAM DRAM 32-28nm Vertical Capacitors DRAM 26-16nm HκMG + Si Fin Continue DRAM Shrink w/ MPU Honey comb cell + Air-gap spacer 20nm Planar SOI Hκ/MG 14nm TriGate 14/16nm FinFET-STI 10nm Fin w/ STI, channel change? 7nm III-V or Ge? EUV 7nm? 450mm 7nm?

15 Silicon Wafer Report Wafer Starts Analysis 120 M 5 & 7nm Logic 7nm RAM 3D NAND G nm Logic 10-11nm RAM 3D NAND G1 14nm Intel 14-16nm RAM 1x-z NV 22,16nm Logic 22-20nm RAM 2x-z NV 32,28,20nm Logic 32-28nm RAM 45nm Logic 65/45 nm RAM 65 nm Logic 90 nm Logic 130 nm Logic nm L >180nm < 3% estimated growth for 2016 SunEdison to be acquired by Taiwanese firm GlobalWafers for $683M USD. 17% share (3 rd largest behind S E H and SUMCO) Increase in market demand is causing availability problems for polished 300mm wafers. Supply Chain issues looming. Discrete fabs trend toward 200mm causing Epi-wafer supply issues. Silicon unit shipments have shown an upward trend post-us recession (housing collapse / WW credit crisis) but prices continue to decline, but change in the wind

16 Photoresists / Ancillaries / Extension Materials 17

17 Photoresist/Ancillaries Report Photoresist /Ancil. /Ext. Update 2016 Photoresist Revenues ~ $1.5B Highest growth in Extension (EXT) materials (ARCs + Shrink/Trim) for ArFi ~ US $676M for 2016 growing to US$790M by 2020 Si-Hard Mask (Si-HM) in Tri-Layer Resist (TLR) use minimizes PR thickness required, so PR volume steady despite increase in waferstarts and increase in litho steps per wafer EUVL for mix-and-match applications pushed to 5-10nm nodes DSA, EBDW, and NIL all for niche applications 18

18 CMP Consumables 19

19 CMP Consumables Report Slurry: $1.36 B in 2016 up ~ 8% from 2015 Pads: $730 M up ~ 6% from Newer CMP processes 3D transistor: Al and W for High k Gate Electrodes 3D Packaging: High RR Cu slurry for TSV 3D NAND: Optimize for Defect Reduction for STI, PolySi, W Continued Incr. in customized CMP processes (combination of slurry, pad hardness & porosity) Continued merger activity: NexPlanar + Cabot Legacy Devices CIP and IoT CMP Trends Slurry Volume Forecast S-STI Al MGE Ox HKG Oxide Tungsten Cu Step 1 Cu Barrier 20

20 Electronic Gases 21

21 Neon Report Electronic Gases + NEON Total Gas Market 2016: $3.9B est. Revenue Forecast to grow to $4.6B by 2020 NEON Ukraine sources up and running (70%) Chinas Sources increased production but steel market concerns Gigaphoton and Cymer continue work on reducing neon usage for DUV lasers. Ne: percent of air Ne purification Air separation Plant --> N2, O2, Ar, Ne O2 Expansions announced: Linde : +40MM liters annually in TX 16 Wisco in China: 230,000 m 3 /yr 17 Ramp up of LPTS TFT Displays and 3D devices may threaten S-D Balance. Steel Mfgr 22

22 ALD & CVD Precursors including Hi K 23

23 Number of ALD Passes ALD / CVD Metal Precursors Report Scaling trend ALD Migration I - Migration to ALD due to shrink - LPCVD SiN and SiO2 - PECVD SiN and SiO2 - CVD Metals ALD - CVD Metal nitrides - PVD Metals II - New Materials & Unit Processes: - MIM Caps & High-k / Metal Gate - Cu barriers - Multipatterning III - 3D Devices: DRAM, FinFET, 3DNAND More ALD 45nm 14nm 7nm x Leading Logic Fully loaded 7%-8% CAGR Metal Precursors Market: $385M in 2016 growing to $420M by 2020 Dielectric Precursors Market: $300M by ALD passes at 14nm and more than 30 at 7 nm according to estimations 24

24 Outline Introduction World Economic Influencers The Next Generation of Users Business and Technical Trends for Materials Strategic Materials Market Information CMP Consumables Gases - Neon Hi K / ALD / CVD Precursors MegaTrends & Summary 25

25 MegaTrends: M & A Active Yet Barriers Increasing Linde and Praxair possible merger TERMINATED (Sept. 12, 2016) but not dead (still open for further discussions) Dow Chemical/ Dow Corning / DuPont Merger US Senate Committee and European Commission doing in-depth investigations into merger regards* May 23, 2016, Air Liquide completed the acquisition of Airgas Airgas remains a wholly owned subsidiary but will be led by Air Liquide management Air Products Spin off of Versum now completed Performance Materials portion sold to Evonik New headquarters located in Tempe, AZ Solvay acquires Cytec Dupont spins off Chemours OCI Materials gets acquired by SK now called SK Materials Avantor combined with Nusil two of Mountain Capital s businesses investments 26

26 Wet Chemicals Report EHS and Materials Trends Environmental Responsibility is not embraced equally by all companies in all countries Costs associated with environmental regulation compliance Those suppliers that do not comply may have a pricing advantage. ROHS & REACH style regulations are now being adopted by Asian countries Environmentally responsible Fabs require their suppliers adhere to these regulations 27

27 Summary - Take Aways The changing populations are impacting what people buy and buying habits; influencing consumer spending, in turn, semiconductor market growth China s influence on population and spending is a key driver Materials Supply Segments will continue growing 4% CAGR thru 2020 High growth areas in ALD/CVD and CMP Consumables 6% to 10% / yr M&A activity Alive & Complex Watch out for Moves from Asia (Korea and China) EHS Issues Will Continue to be Increasingly Important TECHCET s Critical Materials information is here to help you analyze your market environment, understand the risks & opportunities and help you strategically move forward 28

28 TECHCET s Critical Materials Reports TECHCET Critical Materials Report Advisories Issue Date CVD / ALD Metal Precursors Apr Electronic Gases May Wet Process Chemicals June Silicon Wafers June Photoresists and Ancillaries June CVD / ALD Dielectric Precursors Aug CMP Slurries and Pads Consumables Aug Sputtering Targets Sept Quartz -Equipt Components April Ceramics & SiC - Equipt Components July Semiconductor Device Technology Trends and Materials Requirements 4Q2016 Coming soon! Mar 16 +Updated Neon Supply & Demand Quarterly 29

29 Techcet Group Analysts (& Experience) Lita Shon-Roy President / CEO Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/ Brooktree, Hughes Aircraft Karey Holland, Ph.D. Chief Technical Officer MegaFluid Systems, FEI, NexPlanar, IPEC, Motorola, IBM Mike Walden Director, Business Development / Sr. Analyst SunEdison, SUMCO, IBM Ed Korczynski Director, Marketing / Sr. Analyst Solid State Technology, Intermolecular, Nanomarkets, Applied Materials Jerry Yang, Ph.D. Director, Asia Business Development Sematech, Rohm & Haas, Rodel, LAM, IPEC Yu Bibby, Ph. D. Sr. Technology Analyst UV Global, ipcapital Group, Wilkes University Bruce Adams Sr. Market Analyst Matheson Gas, Air Products, & Chemicals, Honeywell Jonas, Sundqvist, Ph.D. Sr. Technology Analyst Fraunhofer, Quimonda Terry Francis Sr. Technology Analyst Matheson Gases, Applied Materials, Air Products Brooks Hurd Sr. Technology Analyst Quantum Clean, Matheson Gas, SAES Getters, Air Products Sue Davis Sr. Market Analysts Sematech, Rohm & Haas, Rodel, Texas Instruments Chris Michaluk Sr. Market Analyst Dillinger-May, H.C. Stark, Climax Molybdenum, Williams, Cabot Chris Blatt Sr. Market Analyst Zeon Chemicals, Fujifilm, Arch, IPEC/Athens, Air Products Mike Fury, Ph.D. Sr. Technology Analyst Vantage, EKC/DuPont, Rodel, IBM Tim Dyer Sr. Technology Analyst Elcon, Matsci, Morgan Ceramics, IPEC/Speedfam 30

30 Thank you! ww.cmcfabs.org

31 References [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] Techcet CA LLC 32

32 Materials Market Trends Total Market ~$3.5B in 2015 CAGR 4%, Increase in number and volume of materials for each technology node. Increasing Concerns regarding Waste Management / Handling of Materials Source: SEMI, SST, semimd 33

33 World Bank Data for 2015 Nominal GDP as a % of Total 2015 Other 31% United States 25% China 15% Korea 2% Canada 2% Brazil 2% Italy 2% India 3% France 3% United Kingdom 4% Germany 5% Japan 6% 34

Legacy & Leading Edge Both are Winners

Legacy & Leading Edge Both are Winners Legacy & Leading Edge Both are Winners Semicon CMP User Group July 16, 2015 Sue Davis 408-833-5905 CMP Team Contributors: Mike Fury, Ph.D. Karey Holland, Ph.D. Jerry Yang, Ph.D. www.techcet.com 1 Outline

More information

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE Tianniu Rick Chen, Ph.D. General Manager SP&C Business (Surface Preparation & Cleans) OUTLINE Market drivers and challenges

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 Outline Where have we been? Semiconductor Industry Birth

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 www.icknowledge.com Ph: (978) 352 7610, Fx: (978) 352 3870 Linx Consulting, PO Box 384, Mendon, MA 01756 0384 www.linxconsulting.com Ph: (617) 273 8837

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

David B. Miller Vice President & General Manager September 28, 2005

David B. Miller Vice President & General Manager September 28, 2005 Electronic Technologies Business Overview David B. Miller Vice President & General Manager September 28, 2005 Forward Looking Statement During the course of this meeting we may make forward-looking statements.

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

1Q04 Update: Silicon Demand Will Move to a Full Recovery

1Q04 Update: Silicon Demand Will Move to a Full Recovery Gartner Dataquest Alert 1Q04 Update: Silicon Demand Will Move to a Full Recovery Our latest silicon demand forecast indicates that wafer demand in 2003 will increase 9 percent over 2002. While the forecast

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED J.S. Whang Executive Chairman Fokko Pentinga President & CEO Solar Semiconductor LED 1 Safe Harbor Statement This Presentation may contain certain statements or information that constitute forward-looking

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Dynamic Semiconductor Years

Dynamic Semiconductor Years Dynamic Semiconductor Years PSMC Meeting April 25-27 Director IHS Markit Technology 15 Inverness Way East Englewood, CO 80112 P: +1 303 988 2206 2 IHS Markit Addressing strategic challenges with interconnected

More information

Fokko Pentinga President & CEO

Fokko Pentinga President & CEO J.S. Whang Executive Chairman Fokko Pentinga President & CEO Brad Anderson Executive VP & CFO Solar Semiconductor LED 1 Safe Harbor Statement This Presentation may contain certain statements or information

More information

CLSA Investors Forum 2017

CLSA Investors Forum 2017 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September 11-15 2017 Forward looking statements Slide 2 This document contains statements relating to certain

More information

San Diego, CA, June 11 to 14, 2006

San Diego, CA, June 11 to 14, 2006 To Advance Wafer Test Technology To Serve and Inform the Wafer Test Professional To Boldly Go Where No Workshop Has Gone Before San Diego, CA, June 11 to 14, 2006 16th Annual SWTW Probe Year In Review

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES 1 CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES Technology Studies Dept. II, Mitsui Global Strategic Studies Institute Noriyasu Ninagawa INTRODUCTION PROMOTING

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah 2010 10 Wah Lee Industrial Corp. was founded in 1968. In order to integrate the industry development of Taiwan with the overall operation requirement of Wah Lee, we defined our mission as "Introduce and

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Display Materials and Components Report - Glass Slimming 2013

Display Materials and Components Report - Glass Slimming 2013 Display Materials and Components Report - Glass Slimming 2013 May 2013 Doo.Kim@ihs.com www.displaybank.com 1/130 No material contained in this report may be reproduced in whole or in part without the express

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

Half-Year Press Conference

Half-Year Press Conference Half-Year Press Conference 11 May 2017 Prof. Dr. Michael Kaschke President & CEO ZEISS Group, Half-Year Press Conference 2016/17 Thomas Spitzenpfeil Chief Financial Officer 11 May 2017 Prof. Dr. Michael

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Executive Summary World Robotics 2018 Industrial Robots

Executive Summary World Robotics 2018 Industrial Robots Executive Summary World Robotics 2018 Industrial Robots 13 Executive Summary World Robotics 2018 Industrial Robots Robot Sales 2017: Impressive growth In 2017, robot sales increased by 30% to 381,335 units,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Surgical Sutures Market By Product Type [Automated Suturing Devices (Disposable Automated Suturing Devices, And Re-Usable Automated Suturing

Surgical Sutures Market By Product Type [Automated Suturing Devices (Disposable Automated Suturing Devices, And Re-Usable Automated Suturing Surgical Sutures Market By Product Type [Automated Suturing Devices (Disposable Automated Suturing Devices, And Re-Usable Automated Suturing Devices), and Sutures (Sutures by Type (Absorbable & Non-Absorbable),

More information

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling imec 2009 1 The Role of European Research Institutes in the 450mm Wafer Transition Process IMEC nanoelectronics platform A Collaborative approach towards 450mm R&D IMEC March 2009 Outline Introduction

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

SIDEBAR CONFERENCE AND DEVELOPMENT AUTHORITY BOARD MEMBER TRAINING INTERNATIONAL PROJECTS

SIDEBAR CONFERENCE AND DEVELOPMENT AUTHORITY BOARD MEMBER TRAINING INTERNATIONAL PROJECTS SIDEBAR CONFERENCE AND DEVELOPMENT AUTHORITY BOARD MEMBER TRAINING INTERNATIONAL PROJECTS GLOBAL COMMERCE: INTERNATIONAL OFFICES 11 International Offices WHY GEORGIA: A ROBUST ENVIRONMENT FOR BUSINESS

More information

ACCELERATING THE FUTURE OF SEMICONDUCTORS

ACCELERATING THE FUTURE OF SEMICONDUCTORS ACCELERATING THE FUTURE OF SEMICONDUCTORS 14 PRODUCTION FACILITIES 7R&D FACILITIES 10+ COUNTRIES VERSUM MATERIALS BY THE NUMBERS* *Fiscal year ending September 30, 2018. 250+ CUSTOMERS CORE INDUSTRIES

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

CMP for Advanced Packaging

CMP for Advanced Packaging CMP for Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 9, 2016 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit Master Outline title style

More information

Silicon Carbide power devices: Status, challenges and future opportunities

Silicon Carbide power devices: Status, challenges and future opportunities Silicon Carbide power devices: Status, challenges and future opportunities S. Reggiani, E. Gnani, A. Gnudi, G. Baccarani ARCES MODELING AND SIMULATION GROUP IUNET DAY September 21, 2017 Advanced Research

More information

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Rick Clemmer Media briefing in China Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Today s agenda NXP update Share our vision Zoom in China 2 NXP Semiconductors NXP Semiconductors

More information

The Construction Market in Europe: A Supplier s Point of View

The Construction Market in Europe: A Supplier s Point of View The Construction Market in Europe: A Supplier s Point of View Dr. Walter Nussbaumer Abstract After the 2009 recession and the 2010 stagnation, recovery took place in 2011 when the World Construction Industry

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

3Q03 Silicon Wafer Update: Demand Continues Recovery

3Q03 Silicon Wafer Update: Demand Continues Recovery Gartner Dataquest Alert 3Q03 Silicon Wafer Update: Demand Continues Recovery The latest silicon forecast indicates that silicon wafer demand will grow 10 percent in 2003 over the previous year. This is

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

OUCH THE WORLD S FASTEST GROWING SEMICONDUCTOR MARKET

OUCH THE WORLD S FASTEST GROWING SEMICONDUCTOR MARKET T OUCH THE WORLD S FASTEST GROWING SEMICONDUCTOR MARKET March 19 21, 2013 Shanghai New International Expo Centre Colocated with www.semiconchina.org China A Vibrant and Expanding Semiconductor Market China

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Will Stronger Borders Weaken Innovation?

Will Stronger Borders Weaken Innovation? October 2017 2017 Global Innovation 1000 Will Stronger Borders Weaken Innovation? Introduction Will Stronger Borders Weaken Innovation? Innovation 1000 update 1 For the 13 th year, Strategy& studied innovation

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC Research & Development in Delaware Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC I AIR LIQUIDE, LE LEADER MONDIAL DES GAZ, TECHNOLOGIES ET SERVICES

More information

THE U.S. SEMICONDUCTOR INDUSTRY:

THE U.S. SEMICONDUCTOR INDUSTRY: THE U.S. SEMICONDUCTOR INDUSTRY: KEY CONTRIBUTOR TO U.S. ECONOMIC GROWTH Matti Parpala 1 August 2014 The U.S. Semiconductor Industry: Key Contributor To U.S. Economic Growth August 2014 1 INTRO The U.S.

More information