ADVANCED TECHNOLOGY MADE IN GERMANY DTF is located in Dresden (Saxony) a center of vacuum- and thin film technologies

Size: px
Start display at page:

Download "ADVANCED TECHNOLOGY MADE IN GERMANY DTF is located in Dresden (Saxony) a center of vacuum- and thin film technologies"

Transcription

1

2 ADVANCED TECHNOLOGY MADE IN GERMANY DTF is located in Dresden (Saxony) a center of vacuum- and thin film technologies DTF TECHNOLOGY GMBH your competent partner for vacuum- and thin film technologies DTF provides customized solutions for applications of thin-film technologies in photovoltaics, opto- and microelectronics and for protective coatings. Headquarter DTF Technology GmbH Meschwitzstraße Dresden / Germany Representative in Japan Matsubo Corporation 33 Mori Building, 8-21, Toranomon 3-Chome Minato-Ku, Tokyo / Japan DTF Dresden Thin Film Technology develops processes and produces components and systems for vacuum based deposition and surface treatment technologies. DTF - Dresden Thin Film Technology entwickelt Komponenten, Anlagen und Systeme für vakuumbasierte Beschichtungsverfahren sowie zur Oberflächenbehandlung. DTF is a member of Silicon Saxony, a network of companies and research organizations in Saxony. Located in the heart of Europe, DTF enables its worldwide costumers to develop and produce novel products by developing, designing and manufacturing high-tech equipment for vacuum and thin film processes. DTF brings its expertise and know-how to emerging markets, in order to provide sustainable benefit to its customers. The company was founded by a team of engineers with several years of experience in the design, construction and fabrication of tailor-made thinfilm equipment. Customers include industrial R&D departments, academic research institutes and universities. DTF provides tailor-made solutions to meet the requirements and challenges in today s R&D environments, which include high flexibility of the systems for future oriented research, modular tool setups and the use of highest quality standards. Our mission is to build bridges between research and industry by designing and fabricating tools which are ready for the development of novel processes for new applications. Das Unternehmen wurde von einem erfahrenen Team von Ingenieuren gegründet, das mehrjährige Erfahrungen im Bereich der Konzeption, Konstruktion und technischen Realisierung von kundenspezifischen Vakuumanlagen besitzt. Kunden der DTF umfassen sowohl Entwicklungslabors der Industrie, als auch außeruniversitäre Forschungseinrichtungen sowie Hochschulen und Universitäten. DTF versteht sich als Sondermaschinenbauer und kann durch die enge Verzahnung von technologischem Prozess-Know-How und exzellenten konstruktiv-mechanischen Wissen speziell angepasste Systemlösungen anbieten. Gerade im Entwicklungsbereich schätzen Kunden die Flexibilität und modulare Erweiterbarkeit der Anlagen für zukünftige Applikationen.

3 Development Development, Construction, Manufacturing: the perfect solution for your application DTF at a glance Innovative solutions based on experience, process know-how and high quality manufacturing New products & technologies require innovative solutions. DTF s mission is to develop processes and equipment in the field of vacuum- and thin film technologies for various applications, e.g. in photovoltaics, micro- and optoelectronics and for special coatings for improved mechanical properties of tools. Modular tools, designed as cluster-tools or inline-tools allow a high flexibility, especially in the R&D environment of industrial or academic research groups. Tools can be easily expanded or modified to meet the requirements of future research topics. Equipment control & software are tailor made solutions to guarantee optimized conditions for research and production applications. DTF s vision is to create and to optimize solutions for our customers. The customer is integrated in the team, and together with our engineers and scientists all needs and features of the equipment are discussed and evaluated. This gives the guarantee for transparent decisions and also for optimal equipment layout beginning from the very first steps of tool design. Throughout the entire process of construction and manufacturing of the equipment DTF is always in direct communication with the customer. Reliability and quality are key issues for DTF to guarantee success and benefit for our customer and to meet the claim Made in Germany for our products. The portfolio of DTF s activities includes: process development for thin film deposition techniques (PVD, CVD, ALD, ) processes for surface modification & treatment (thermal processing, etching) ion implantation services (beamline implantation with energies in the kev MeV range) PIII treatment of 3D - substrates Dr. Thoralf Gebel CEO of DTF holds a PhD in ion beam physics from Dresden Technical University. He has been working in the field of ion implantation and flash lamp annealing for more than 10 years. His main interests are short time annealing processes (Flash Lamp and laser annealing) and ion beam related technologies. Dr. Harald Liepack CTO of DTF holds a PhD in materials science from Dresden Technical University. He has been working in the field of thin film technologies for more than 15 years. His main interests include CVD, PECVD and also sputtering technologies.

4 Thin Film: Processes & Equipment Innovative solutions for applications in nanotechnology Applications Costumized solutions for R&D environments DTF develops processes and equipment for thin film deposition and vacuum technologies. The company portfolio includes: Equipment for CVD and PVD (sputtering, evaporation, PECVD) Equipment for atomic layer deposition (ALD), including modular R&D systems with Direct Liquid Injection (DLI) modules for optimized precursor use Ultra-short time annealing techniques like Flash Lamp Annealing (FLA) and Laser Annealing for thermal treatment / modification of surfaces on temperature sensitive substrates (glass, PET foil, plastic materials) Plasma Immersion Ion Implantation (PIII) systems for advanced deposition of materials (e.g. for improved adhesion of protective coatings) and for doping processes (e.g. for microelectronics and for photovoltaics) Vacuum handling systems for R&D and industrial environments, e.g. cluster tools, in-line systems or roll coaters DTF s approach is to provide novel technologies for vacuum based deposition processes and for the surface treatment and modification of materials. Beside internal research activities, DTF is also involved in research projects together with partners from academic research in Saxony. Collaborations with local research organizations allow the access to a variety of tools for sampling and technology development. Fast feedback loops for sample treatment and prototyping are the key to develop novel depositions techniques and processes which are the basis for new equipment concepts. Setup of RF-Antennas for plasma excitation in PIII systems Flash lamp annealing system. Xenon flash lamps are used for ultra-fast annealing in micro- / milliseconds Wafer handling tool for high energy ion implantation. (4 to 8 wafers, including a combination of mechanical and electrostatical scanning)

5

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

Saxony the Organic Electronics State

Saxony the Organic Electronics State Saxony the Organic Electronics State Page 1 Agenda 1. History 2. The situation today: a major cluster in Europe 3. Saxony a dynamic place to be 4. OES at your service 5. Why to join Page 2 Downtown Dresden

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization UVISEL Spectroscopic Phase Modulated Ellipsometer The Ideal Tool for Thin Film and Material Characterization High Precision Research Spectroscopic Ellipsometer The UVISEL ellipsometer offers the best combination

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS

GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS SMC057C August Margareth Gagliardi Project Analyst ISBN: 1-62296-338-5 BCC Research 49 Walnut Park, Building 2 Wellesley, MA 02481

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

HipoCIGS: enamelled steel as substrate for thin film solar cells

HipoCIGS: enamelled steel as substrate for thin film solar cells HipoCIGS: enamelled steel as substrate for thin film solar cells Lecturer D. Jacobs*, Author S. Efimenko, Co-author C. Schlegel *:PRINCE Belgium bvba, Pathoekeweg 116, 8000 Brugge, Belgium, djacobs@princecorp.com

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

research in the fields of nanoelectronics

research in the fields of nanoelectronics FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration

More information

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan; Verification of the controllability of refractive index by subwavelength structure fabricated by photolithography: toward single-material mid- and far-infrared multilayer filters Hironobu Makitsubo* a,b,

More information

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics February 10, 2011 Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics Presentation overview -General overview -Research focus < 4 Holst Centre: a solid partner in research Independent,

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Creating the world technology leader in surface solutions under one roof

Creating the world technology leader in surface solutions under one roof Creating the world technology leader in surface solutions under one roof We are the world technology leader in the growing surface solutions market. Combining the complementary strengths of Oerlikon Balzers

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Functional Materials From Application Driven Research to Business

Functional Materials From Application Driven Research to Business Building Supportive Environments for Nanotechnology Commercialisation Functional Materials From Application Driven Research to Business Markku Lämsä, Ph.D., Tekes Markku Heino, Ph.D., Spinverse Ltd. 30

More information

Unique and sustainable surface refinement of products with innovative thin films: Ara Authentic. R. Domnick, Ara-Authentic GmbH

Unique and sustainable surface refinement of products with innovative thin films: Ara Authentic. R. Domnick, Ara-Authentic GmbH Unique and sustainable surface refinement of products with innovative thin films: Ara Authentic R. Domnick, Ara-Authentic GmbH 1 Introduction - About us - Piracy of products a growing problem - Protection

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

LITE /LAB /SCAN /INLINE:

LITE /LAB /SCAN /INLINE: Metis Metis LITE /LAB /SCAN/ INLINE Metis LITE /LAB /SCAN /INLINE: Spectral Offline and Inline Measuring System, using Integrating Sphere, for coatings on foils/web and on large size glasses To ensure

More information

Process power from TRUMPF Hüttinger. Generators for plasma excitation.

Process power from TRUMPF Hüttinger. Generators for plasma excitation. Process power from TRUMPF Hüttinger Generators for plasma excitation. TRUMPF Hüttinger harnesses one of nature s most awesome powers. How we are putting plasma excitation to work. We can see it in lightning,

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Flexible Substrates and SCB-Technology

Flexible Substrates and SCB-Technology Flexible Substrates and SCB-Technology Substrate Technology As requirements are increasing, so are electronic systems becoming smaller and smaller and more complex. In its role as innovative forerunner

More information

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS WHO ARE WE? XTPL S.A. is a company operating in the nanotechnology segment. The interdisciplinary team of XTPL develops on a global scale

More information

Infinitely Precise. micrometal. excellence in etching

Infinitely Precise. micrometal. excellence in etching Infinitely Precise micrometal excellence in etching A High-Tech Profile Superfine structures and ultra-tight tolerances: precision is our business You give us the specs, and we etch to ultra-tight tolerances.

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

SOLVIX ARC AND BIAS SERIES

SOLVIX ARC AND BIAS SERIES CATHODIC ARC DEPOSITION WITH PRECISE PROCESS CONTROL AND SUPERIOR FILM QUALITY Arc Units 60, 100, 210, and 400 A Bias Units 3 to 30 kw Regulation Modes Current, power, and voltage 2018 Advanced Energy

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

Accelerating Scale Up of Large Area Electronics

Accelerating Scale Up of Large Area Electronics Accelerating Scale Up of Large Area Electronics Duncan Lindsay Business Development Director, CPI 2015 Centre for Process Innovation Limited. All Rights Reserved. Who are CPI? CPI is a UK technology innovation

More information

HZDR Innovation GmbH - Enhanced industrial access to large R&D- infrastructures

HZDR Innovation GmbH - Enhanced industrial access to large R&D- infrastructures HZDR Innovation GmbH - Enhanced industrial access to large R&D- infrastructures ERF-Workshop "Technology Transfer and Industrial Relations in Research Infrastructures 7 th June 2013, Triest Dr. Björn Wolf,

More information

Performance of Microchannel Plates Fabricated Using Atomic Layer Deposition

Performance of Microchannel Plates Fabricated Using Atomic Layer Deposition Performance of Microchannel Plates Fabricated Using Atomic Layer Deposition Andrey Elagin on behalf of the LAPPD collaboration Introduction Performance (timing) Conclusions Large Area Picosecond Photo

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC Direct printing tools for flexible hybrid electronics assembly David Grierson, Ph.D. President & CTO of systemech, LLC We solve the problem of placing ultra-thin, high-performance Si devices onto flexible

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Type Product Platform Description Range/Size Application Inverters

Type Product Platform Description Range/Size Application Inverters Product Guide Inverters Commercial & Utility- Scale Grid-Tie Solar Inverters Solaron Series High-efficiency, transformerless solar inverters and accessories 333 kw & 500 kw Solar PV inverters Power Systems

More information

Silicon carbide Semiconductor Products

Silicon carbide Semiconductor Products Power Matters. Silicon carbide Semiconductor Products Low Switching Losses High Power Density High Thermal Conductivity Reduced Heat Sink Requirements High Temperature Operation Reduced Circuit Size and

More information

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH AC 2011-1595: EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH Shawn Wagoner, Binghamton University Director, Nanofabrication Labatory at Binghamton University,

More information

DUOLINE. Rotary vane pumps for all applications in the low and medium vacuum range

DUOLINE. Rotary vane pumps for all applications in the low and medium vacuum range DUOLINE Rotary vane pumps for all applications in the low and medium vacuum range DUOLINE Rotary vane pumps for all applications in the low and medium vacuum range The two-stage high-performance rotary

More information

Industrial technology Innovation for success Customized solutions for industrial applications

Industrial technology Innovation for success Customized solutions for industrial applications Industrial technology Innovation for success Customized solutions for industrial applications Innovation for success Challenges in the development and production of industrial applications Technological

More information

COMPANIES FROM SAXONY A HIGH TECH LOCATION IN GERMANY NANO TECH TOKYO FEBRUARY 14 16, 2018 EAST HALL 5, BOOTH 5J-13

COMPANIES FROM SAXONY A HIGH TECH LOCATION IN GERMANY NANO TECH TOKYO FEBRUARY 14 16, 2018 EAST HALL 5, BOOTH 5J-13 COMPANIES FROM SAXONY A HIGH TECH LOCATION IN GERMANY NANO TECH TOKYO FEBRUARY 14 16, 2018 EAST HALL 5, BOOTH 5J-13 2 Supported by Saxon State Ministry for Economic Affairs, Labour and Transport Wilhelm-Buck-Str.

More information

WELCOME TO EO ISRAEL EVENT

WELCOME TO EO ISRAEL EVENT WELCOME TO EO ISRAEL EVENT WHO WE ARE 2 Edmund Optics is a global OPTICS and IMAGING company that manufactures and supplies the worldwide technical community with precision optical components and subassemblies.

More information

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG Deutsches Eigenkapitalforum 2016

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG Deutsches Eigenkapitalforum 2016 SINGULUS TECHNOLOGIES Deutsches Eigenkapitalforum 2016 November 2016 Agenda EK-Forum 2016-2 - 1 Overview 2 Financials 3 SINGULUS TECHNOLOGIES Segments & New Business Areas 4 SINGULUS TECHNOLOGIES Key Takeaways

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

Fault Diagnosis Algorithms Part 2

Fault Diagnosis Algorithms Part 2 Fault Diagnosis Algorithms Part 2 By Christopher Henderson Page 1 Fault Diagnosis Algorithms Part 2 Page 5 Technical Tidbit Page 8 Ask the Experts Figure 4. Circuit schematic. This is an example of a circuit

More information

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG Roadshow Frankfurt, January 2017

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG Roadshow Frankfurt, January 2017 SINGULUS TECHNOLOGIES Roadshow Frankfurt, January 2017 January 2017 Agenda January 2017-2 - 1 Overview 2 Financials 3 SINGULUS TECHNOLOGIES Segments & New Business Areas 4 SINGULUS TECHNOLOGIES Key Takeaways

More information

Led-light engineering

Led-light engineering Medical technology Machine & plant construction Safety technology Led-light engineering Measuring & control technology OEM Power Supplies Made in Germany smart efficient innovative Performance and competences

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Fokko Pentinga President & CEO

Fokko Pentinga President & CEO J.S. Whang Executive Chairman Fokko Pentinga President & CEO Brad Anderson Executive VP & CFO Solar Semiconductor LED 1 Safe Harbor Statement This Presentation may contain certain statements or information

More information

Context Development Details Anticipated Effects

Context Development Details Anticipated Effects Dec 27, 2017 Tanaka Precious Metals/Tanaka Holdings Co., Ltd Japan Science and Technology Agency (JST). A Bendable Touch Panel Achieved with Silver Nano Ink Printing Technology (A Result of NexTEP: Joint

More information

knowledge generating NOVEL PULSED-DC TECHNOLOGY DUAL USAGE POWER SUPPLY Background The challenge: effective application of plasma power supply

knowledge generating NOVEL PULSED-DC TECHNOLOGY DUAL USAGE POWER SUPPLY Background The challenge: effective application of plasma power supply generating knowledge NOVEL PULSED-DC TECHNOLOGY DUAL USAGE POWER SUPPLY Background The DC and Pulsed-DC sputtering is one of the most commonly used sputtering technique on the industrial scale. It is used

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

New Horizons. A new paradigm. of collaborative research

New Horizons. A new paradigm. of collaborative research New Horizons A new paradigm of collaborative research One of the immediate and complex challenges facing industry, business and government in Australia today is a need to increase exports, foreign investment

More information

Silicon carbide Semiconductor Products

Silicon carbide Semiconductor Products Power Matters. Silicon carbide Semiconductor Products Low Switching Losses High Power Density High Thermal Conductivity Reduced Heat Sink Requirements High Temperature Operation Reduced Circuit Size and

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

The Simulation, Design, and Fabrication of Optical Filters

The Simulation, Design, and Fabrication of Optical Filters Rose-Hulman Institute of Technology Rose-Hulman Scholar Graduate Theses - Physics and Optical Engineering Graduate Theses 11-2017 The Simulation, Design, and Fabrication of Optical Filters John-Michael

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Ion beam etch and deposition systems

Ion beam etch and deposition systems Ion beam etch and deposition systems The Business of Science Ion beam systems Ion beam technology offers unique abilities in etch and deposition Oxford Instruments offers a single tool, allowing the flexibility

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION Arne Koops, tesa AG, Hamburg, Germany Sven Reiter, tesa AG, Hamburg, Germany 1. Abstract Laser systems for industrial materials

More information

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik Reinhold Ebner, Anton Köck, Stefan Defregger Materials Center Leoben Forschung GmbH Roseggerstrasse 12 A-8700 Leoben www.mcl.at

More information

Curriculum Vitae. (Some of my experiences after 2003 & certifications are shown on the website)

Curriculum Vitae. (Some of my experiences after 2003 & certifications are shown on the website) Curriculum Vitae Dr. Wang Qin Born: Nationality: E-mail: October, 1967, Zhejiang Province, China Singapore qwangabcd@gmail.com Hand phone: 65-84637402 Personal website: http://wangqinsite.weebly.com (Some

More information

Innovation for success

Innovation for success Innovation for success Success in the medical sector Thin film substrates for medical implants Retinal implants for Retina Implant AG, Germany Our mission: To restore sight to blind people and thus increase

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

COMPANY PRESENTATION

COMPANY PRESENTATION COMPANY PRESENTATION Unrivalled know-how at the service of our clients Can one be passionate about PET film? We at Coveme believe so, because this is simply what 40 years of engineering polyester has taught

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION CHAPTER Introduction 1 C H A P T E R C O N T E N T S 1.1 Philosophy of Micro/Nanofabrication... 1 1.2 The Industry Science Dualism... 5 1.3 Industrial Applications... 8 1.4 Purpose and Organization of

More information

microelectronics services high-tech requires high-precision microelectronics services

microelectronics services high-tech requires high-precision microelectronics services ELECTRICAL & ELECTRONICS microelectronics services high-tech requires high-precision microelectronics services WORLDWIDE Analysis, TESTING & CERTIFICATION LOCALLY AVAILABLE worldwide Electrical and electronic

More information

Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire

Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire 2017. 04. 25 Seung-Hyun Lee, PhD Senior Researcher Dept. Printed Electronics Korea Institute

More information

Contoured platen design for plasma immerson ion implantation

Contoured platen design for plasma immerson ion implantation Page 1 of 10 United States Patent 6,228,176 Chu, et al. May 8, 2001 Contoured platen design for plasma immerson ion implantation Abstract A plasma treatment system (200) for implantation with a novel susceptor

More information

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual Thick Film Thin Film RF-PCB Assembly/Packagng Screening/Test Design Manual RHe Design Manual The following rules are effective for the draft of circuit boards and hybrid assemblies. The instructions are

More information

The Future for Printed Electronics

The Future for Printed Electronics The Future for Printed Electronics Jon Helliwell National Centre for Printable Electronics 24 October, 2013 Copyright CPI 2013. All rights reserved What is Printed Electronics? Organic and printed electronics

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter EUV Beam Splitter 1 Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter First Semester Report Full Report By: Andrew Wiley Maram Alfaraj Prepared to partially fulfill the requirements

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

DROP SHAPE ANALYZER DSA100 THE VERSATILE HIGH-END INSTRUMENT FOR ANALYZING COATING AND WETTING PROCESSES

DROP SHAPE ANALYZER DSA100 THE VERSATILE HIGH-END INSTRUMENT FOR ANALYZING COATING AND WETTING PROCESSES DROP SHAPE ANALYZER DSA100 THE VERSATILE HIGH-END INSTRUMENT FOR ANALYZING COATING AND WETTING PROCESSES PRECISION AND PERFECTION QUITE AUTOMATICALLY Options for complete software-controlled surface analysis

More information

Laser patterning and projection lithography

Laser patterning and projection lithography Introduction to Nanofabrication Techniques: Laser patterning and projection lithography Benjamin Johnston Macquarie University David O Connor Bandwidth Foundry - USYD The OptoFab node of ANFF Broad ranging

More information

Laser-induced transfer of PVD-films

Laser-induced transfer of PVD-films Laser-induced transfer of PVD-films Laser Transfer ARA-LT and ARA Authentic-LT PVD films are applied directly from the carrier foil to the product surface by using a laser beam. The ARA-LT and ARA Authentic-LT

More information

Ion Assisted Deposition Processes for Precision and Laser Optics

Ion Assisted Deposition Processes for Precision and Laser Optics Ion Assisted Deposition Processes for Precision and Laser Optics H. Ehlers, T. Groß, M. Lappschies, and D. Ristau Laser Zentrum Hannover e.v. Germany Introduction Ion assisted deposition (IAD) processes

More information

M O D E R N P R O D U C T I O N T E C H N O L O G I E S F R O M T H E F R A U N H O F E R I W S

M O D E R N P R O D U C T I O N T E C H N O L O G I E S F R O M T H E F R A U N H O F E R I W S F R A U N H O F E R I N S T I T U T E F O R M A T E R I A L A N D B E A M T E C H N O L O G Y I W S M O D E R N P R O D U C T I O N T E C H N O L O G I E S F R O M T H E F R A U N H O F E R I W S 1 LASER

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Compound Semiconductor Center

Compound Semiconductor Center Compound Semiconductor Center Compound Semiconductor Centre Building a Technology Cluster in South Wales Dr Wyn Meredith Status October 2015 Formal JV: 50:50 Cardiff University: IQE Academia Public Sector

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

NELA Brüder Neumeister GmbH

NELA Brüder Neumeister GmbH Vision Inspection Systems NELA Brüder Neumeister GmbH Your Worldwide Partner for Automatic Optical Inspection and Sorting Systems see. control. automate. HISTORICAL MILESTONES 1938 Ernst and Bernhard Neumeister

More information

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES Simon Perraud, Ph.D. Vice president for European affairs ABOUT LITEN Liten is the research institute of CEA devoted to clean energy

More information

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009 Advanced Plasma Technology High precision film thickness trimming for the TFH industry Roth & Rau AG September 2009 Product Overview IonScan Equipment for ultra-precise Surface Processing IonScan 800 Wafer

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

METAL LAMINATED TOOLING - A QUICK AND FLEXIBLE TOOLING CONCEPT

METAL LAMINATED TOOLING - A QUICK AND FLEXIBLE TOOLING CONCEPT METAL LAMINATED TOOLING - A QUICK AND FLEXIBLE TOOLING CONCEPT Thomas Himmer*, Dr. Anja Techel**, Dr. Steffen Nowotny**, Prof. Dr. Eckhard Beyer**,*** * Fraunhofer USA, Inc., Center for Coatings and Laser

More information

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Created by Advanced Energy Industries, Inc., Fort Collins, CO Abstract Conventional applications for remote plasma sources

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Our locations. FEW locations FEW sales representatives

Our locations. FEW locations FEW sales representatives Our locations FEW locations FEW sales representatives Company profile Company profile The FEW Automotive Group is one of the globally-leading manufacturers of pre-soldered connectors for the automotive

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic

New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic Outline Short history of MAPS development at IPHC Results from TowerJazz CIS test sensor Ultra-thin

More information